List of semiconductor fabrication plants

Last updated

This is a list of semiconductor fabrication plants. A semiconductor fabrication plant is where integrated circuits (ICs), also known as microchips, are manufactured. They are either operated by Integrated Device Manufacturers (IDMs) who design and manufacture ICs in-house and may also manufacture designs from design-only (fabless firms), or by pure play foundries who manufacture designs from fabless companies and do not design their own ICs. Some pure play foundries like TSMC offer IC design services, and others, like Samsung, design and manufacture ICs for customers, while also designing, manufacturing and selling their own ICs.

Contents

Glossary of terms

Open plants

Operating fabs include:

Number of open fabs currently listed here: 496

(NOTE: Some fabs located in Asia don't use the number 4, or any 2 digit number that adds up to 4, because it is considered bad luck; see tetraphobia.)

Closed plants

CompanyPlant NamePlant LocationPlant Cost (in US$ Billions)Started Production Wafer Size (mm)Process Technology Node (nm)Production Capacity (Wafers/Month)Technology / ProductsEnded Production
VEF Soviet Union,
Latvia,
Riga
1960Semi-secret government semiconductor fab and a major research center separated from the Russian military manufacturing complex by the collapse of the USSR.1999
Tower Semiconductor (formerly Micron)Fab 4 [398] Japan,
Hyōgo,
Nishiwaki
0.450 [1] 1992 [1] 2009560,000 [1] DRAM, foundry2014
Tower Semiconductor – TacomaChina,
Jiangsu,
Nanjing [399] [400]
halted, bankruptcy in June 2020 [401] 200, 300 (planned)Foundry2020
Fujian Jinhua (JHICC) [18] [402] [403] [404] F2China,
Fujian,
Jinjiang
5.65 [405] 2018 (planned)300 22 60,000DRAM [17] 2018
Decoma [18] F2China,
Jiangsu,
Huai'an
Under construction30020,0002020
Wuhan Hongxin Semiconductor Manufacturing (HSMC) [406] China,
Hubei,
Wuhan
2019 (halted)30014, 730,000 [407] Foundry2020
Tsinghua Unigroup – Unigroup Guoxin (Unigroup, Xi'an UniIC Semiconductors Co., Ltd.) [18] SZChina,
Guangdong,
Shenzhen
12.5Planned30050,000DRAM2019 (just plan)
TSMC Fab 1 [241] [149] Taiwan
Hsinchu,
Baoshan
19871502000-80020,000Foundry, CMOS, BiCMOS2001, March 9
UMC Fab 1Japan,
Chiba,
Tateyama
0.543 [1] 1997 [1] 20040,000Foundry2012
SK Hynix E-4United States,
Oregon,
Eugene
1.3200720030,000DRAM2008 [408]
Symetrix – Panasonic [409] Brazil0.9 (planned)planned FeRAM (just plan)
Rohm (formerly Data General)United States,
California,
Sunnyvale [410]
Kioxia Fab 1 (at Yokkaichi Operations) [411] Japan,
Mie,
Yokkaichi
199220040035,000SRAM, DRAM2001, September
NEC Livingston [412] [84] United Kingdom,
Scotland,
West Lothian,
Livingston
4.5 (total)1981150, 200800–350, 250, 18030,000CMOS, DRAM, SRAM, MCUs, ASICs, DSPs2001, April
LFoundry  [ de ] (formerly Renesas Electronics) [413] Germany,
Bavaria,
Landshut
19922002011
LFoundry  [ de ](formerly Atmel) [414] France,
Bouches-du-Rhône,
Rousset
?20025.000 [415]
Atmel (formerly Siemens)Fab 9 [416] United Kingdom,
Tyne and Wear,
North Tyneside
1.53 [417] 1998 [418] DRAM [418] 2007 [419]
EI Niš Ei PoluprovodniciSerbia,
Nišava,
Niš
19621002000
Plessey Semiconductors (formerly Plus Semi) (formerly MHS Electronics) (formerly Zarlink)(formerly Mitel) (formerly Plessey Semiconductors) [201] UK,
Wiltshire,
Swindon [1]
100, 150800, 5008,000Bipolar, ASICs, linear ICs
Telefunken Semiconductors  [ de ]Heilbronn, HNO-Line [201] Germany,
Baden-Württemberg,
Heilbronn
0.125 [1] 1993 [1] 100, 15080010,000Bipolar, CMOS, BiCMOS, GaAs, SiGe, ASICs, ASSPs, MCUs, discrete, optoelectronics2015
Qimonda Richmond [420] United States,
Virginia,
Richmond
320053006538,000DRAM2009, January
STMicroelectronics (formerly Nortel [83] ) [201] United States,
California,
San Diego,
Rancho Bernardo
100, 150800, 500NMOS, CMOS, BiCMOS2002 [421]
Freescale Semiconductor (formerly Motorola)Toulouse Fab [422] France,
Haute-Garonne,
Toulouse
1969150 650 Automotive2012 [423]
Freescale Semiconductor (formerly Motorola) (formerly Tohoku Semiconductor)Sendai Fab [424] Japan,
Miyagi,
Sendai
1987150, 200 500 DRAM, microcontrollers, analog, sensors2009?
Agere Systems (formerly Lucent)(formerly AT&T) [425] Spain,
Madrid,
Tres Cantos
0.67 [426] 1987 [427] 500, 350, 300CMOS2001
GMT Microelectronics (formerly Commodore Semiconductor) (formerly MOS Technology)United States,
Pennsylvania,
Audubon
1969
1976
1995
10001976
1992 [428]
2001
Integrated Device Technology United States,
California,
Salinas
1985150800-350 [154] 2002
ON Semiconductor (formerly Cherry Semiconductor) [429] United States,
Rhode Island,
East Greenwich
100, 150140010,000Bipolar, BiCMOS, Linear ICs and ASICs2004
ON Semiconductor (formerly Motorola) [429] United States,
Arizona,
Phoenix
1505000-50012,000MOS, power discrete2011
ON Semiconductor (formerly Motorola)Aizu Plant [429] Japan,
Aizu
100, 1501200, 100040,000CMOS, MCUs, logic and smart power ICs2012
ON Semiconductor (formerly Truesense Imaging, Kodak) Rochester United States,
New York,
Rochester [430]
150CCDs and Image Sensors2020
Intel Fab 8 [59] Israel,
Jerusalem District,
Jerusalem
1985150 Microprocessors, Chipsets, Microcontrollers [60] 2007
Intel Fab D2United States,
California,
Santa Clara
19892001308,000Microprocessors, Chipsets, Flash memory 2009
Intel Fab 17 [50] [49] United States,
Massachusetts,
Hudson
1998200 130 Chipsets and other [49] 2014
Fairchild Semiconductor (formerly National Semiconductor)West JordanUnited States,
Utah,
West Jordan
19771502015 [431]
Texas Instruments HFABUnited States,
Texas,
Houston
19671502013 [432]
Texas Instruments (formerly Silicon Systems)Santa CruzUnited States,
California,
Santa Cruz
0.250198015080080,000 HDD 2001
Texas Instruments (formerly National Semiconductor)ArlingtonUnited States,
Texas,
Arlington
198515080000, 350002010
Unknown (fortune 500 company)United States,
East Coast [433]
1501,600 MEMS 2016
Diodes Incorporated (formerly Lite-On Power Semiconductor) (formerly AT&T)KFABUnited States,
Missouri,
Lee's Summit
1994 [434] 1302017 [435]
Qorvo (formerly TriQuint Semiconductor) (formerly Sawtek)United States,
Florida,
Apopka [74] [436]
SAW filters 2019
GlobalFoundries Abu Dhabi [1] UAE,
Emirate of Abu Dhabi,
Abu Dhabi [1]
6.8 [1] (planned)2016 [1] (planned)300180-11045,000Foundry2011 (plan stopped)
GlobalFoundries – ChengduChina,
Sichuan,
Chengdu [437]
10 (planned)2018 (planned), 2019 (second phase)300 180/130 (cancelled), 22 (second phase)20,000 (85,000 planned)Foundry, FDSOI (second phase)2020 (was idle)
Tondi Elektroonika [438] A-1381Soviet Union,
Estonia,
Harju,
Tallinn
1958Radio equipment, Transisors, Photodiode1978
Intersil (formerly Harris Semiconductor, formerly GE, formerly RCA) [429] United States,
Ohio,
Findlay
1954100, 1252000, 1500, 120060,000CMOS, bipolar, BiCMOS, Semiconductors, Optoelectronics, Integrated Circuits, Research [439] 2003 [440]

Number of closed fabs currently listed here: 45

See also

Related Research Articles

<span class="mw-page-title-main">Semiconductor device fabrication</span> Manufacturing process used to create integrated circuits

Semiconductor device fabrication is the process used to manufacture semiconductor devices, typically integrated circuits (ICs) such as computer processors, microcontrollers, and memory chips. It is a multiple-step photolithographic and physio-chemical process during which electronic circuits are gradually created on a wafer, typically made of pure single-crystal semiconducting material. Silicon is almost always used, but various compound semiconductors are used for specialized applications.

<span class="mw-page-title-main">Wafer (electronics)</span> Thin slice of semiconductor used for the fabrication of integrated circuits

In electronics, a wafer is a thin slice of semiconductor, such as a crystalline silicon, used for the fabrication of integrated circuits and, in photovoltaics, to manufacture solar cells.

<span class="mw-page-title-main">STMicroelectronics</span> Semiconductor device manufacturer

STMicroelectronics N.V. is a multinational corporation and technology company of French-Italian origin. It is headquartered in Plan-les-Ouates, Switzerland and listed on the New York Stock Exchange, on the Euronext Paris in Paris and on the Borsa Italiana in Milan. ST is the largest European semiconductor contract manufacturing and design company. The company resulted from the merger of two government-owned semiconductor companies in 1987: Thomson Semiconducteurs of France and SGS Microelettronica of Italy.

<span class="mw-page-title-main">TSMC</span> Taiwanese semiconductor foundry company

Taiwan Semiconductor Manufacturing Company Limited is a Taiwanese multinational semiconductor contract manufacturing and design company. It is the world's second-most valuable semiconductor company, the world's largest dedicated independent ("pure-play") semiconductor foundry, and its country's largest company, with headquarters and main operations located in the Hsinchu Science Park in Hsinchu, Taiwan. Its majority is owned by foreign investors, and the central government of Taiwan is the largest shareholder. In 2023, the company was ranked 44th in the Forbes Global 2000.

The foundry model is a microelectronics engineering and manufacturing business model consisting of a semiconductor fabrication plant, or foundry, and an integrated circuit design operation, each belonging to separate companies or subsidiaries.

Fabless manufacturing is the design and sale of hardware devices and semiconductor chips while outsourcing their fabrication to a specialized manufacturer called a semiconductor foundry. These foundries are typically, but not exclusively, located in the United States, China, and Taiwan. Fabless companies can benefit from lower capital costs while concentrating their research and development resources on the end market. Some fabless companies and pure play foundries may offer integrated-circuit design services to third parties.

The 90 nm process refers to the technology used in semiconductor manufacturing to create integrated circuits with a minimum feature size of 90 nanometers. It was an advancement over the previous 130 nm process. Eventually, it was succeeded by smaller process nodes, such as the 65 nm, 45 nm, and 32 nm processes.

An integrated device manufacturer (IDM) is a semiconductor company which designs, manufactures, and sells integrated circuit (IC) products.

<span class="mw-page-title-main">United Microelectronics Corporation</span> Taiwanese semiconductor foundry

United Microelectronics Corporation is a Taiwanese company based in Hsinchu, Taiwan. It was founded as Taiwan's first semiconductor company in 1980 as a spin-off of the government-sponsored Industrial Technology Research Institute (ITRI).

<span class="mw-page-title-main">Semiconductor fabrication plant</span> Factory where integrated circuits are manufactured

In the microelectronics industry, a semiconductor fabrication plant is a factory for semiconductor device fabrication.

The transistor count is the number of transistors in an electronic device. It is the most common measure of integrated circuit complexity. The rate at which MOS transistor counts have increased generally follows Moore's law, which observes that transistor count doubles approximately every two years. However, being directly proportional to the area of a chip, transistor count does not represent how advanced the corresponding manufacturing technology is: a better indication of this is transistor density.

<span class="mw-page-title-main">X-Fab</span> German semiconductor foundry

The X-FAB Silicon Foundries is a group of semiconductor foundries. The group specializes in the fabrication of analog and mixed-signal integrated circuits for fabless semiconductor companies, as well as MEMS and solutions for high voltage applications. The holding company named "X-FAB Silicon Foundries SE" is based in Tessenderlo, Belgium while its headquarters is located in Erfurt, Germany.

The "14 nanometer process" refers to a marketing term for the MOSFET technology node that is the successor to the "22 nm" node. The "14 nm" was so named by the International Technology Roadmap for Semiconductors (ITRS). Until about 2011, the node following "22 nm" was expected to be "16 nm". All "14 nm" nodes use FinFET technology, a type of multi-gate MOSFET technology that is a non-planar evolution of planar silicon CMOS technology.

<span class="mw-page-title-main">Multigate device</span> MOS field-effect transistor with more than one gate

A multigate device, multi-gate MOSFET or multi-gate field-effect transistor (MuGFET) refers to a metal–oxide–semiconductor field-effect transistor (MOSFET) that has more than one gate on a single transistor. The multiple gates may be controlled by a single gate electrode, wherein the multiple gate surfaces act electrically as a single gate, or by independent gate electrodes. A multigate device employing independent gate electrodes is sometimes called a multiple-independent-gate field-effect transistor (MIGFET). The most widely used multi-gate devices are the FinFET and the GAAFET, which are non-planar transistors, or 3D transistors.

The term die shrink refers to the scaling of metal–oxide–semiconductor (MOS) devices. The act of shrinking a die creates a somewhat identical circuit using a more advanced fabrication process, usually involving an advance of lithographic nodes. This reduces overall costs for a chip company, as the absence of major architectural changes to the processor lowers research and development costs while at the same time allowing more processor dies to be manufactured on the same piece of silicon wafer, resulting in less cost per product sold.

A three-dimensional integrated circuit is a MOS integrated circuit (IC) manufactured by stacking as many as 16 or more ICs and interconnecting them vertically using, for instance, through-silicon vias (TSVs) or Cu-Cu connections, so that they behave as a single device to achieve performance improvements at reduced power and smaller footprint than conventional two dimensional processes. The 3D IC is one of several 3D integration schemes that exploit the z-direction to achieve electrical performance benefits in microelectronics and nanoelectronics.

GlobalFoundries Inc. (GF) is a multinational semiconductor contract manufacturing and design company incorporated in the Cayman Islands and headquartered in Malta, New York. Created by the divestiture of the manufacturing arm of AMD, the company was privately owned by Mubadala Investment Company, a sovereign wealth fund of the United Arab Emirates, until an initial public offering (IPO) in October 2021.

In semiconductor manufacturing, the "3 nm" process is the next die shrink after the "5 nm" MOSFET technology node. South Korean chipmaker Samsung started shipping its "3 nm" gate all around (GAA) process, named "3GAA", in mid-2022. On 29 December 2022, Taiwanese chip manufacturer TSMC announced that volume production using its "3 nm" semiconductor node ("N3") was under way with good yields. An enhanced "3 nm" chip process called "N3E" may have started production in 2023. American manufacturer Intel planned to start 3 nm production in 2023.

References

  1. 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69 70 71 72 73 74 75 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99 100 101 102 103 104 105 106 107 108 109 110 111 112 113 114 115 116 117 118 119 120 121 122 123 124 125 126 127 128 129 130 131 132 133 134 135 136 137 138 139 140 141 142 143 144 145 146 147 148 149 150 151 152 153 154 155 156 157 158 159 160 161 162 163 164 165 166 167 168 169 170 171 172 173 174 175 176 177 178 179 180 181 182 183 184 185 186 187 188 189 190 191 192 193 194 195 196 197 198 199 200 201 202 203 204 "SEMI World Fab Forecast 2013".
  2. 1 2 "Fab Information". Umc.com.
  3. "Mie Plant – Fujitsu Global". Fujitsu.com.
  4. 1 2 "Fujitsu says sayonara to semiconductor biz, thousands of staff". The Register .
  5. LaPedus, Mark (2009-09-30). "Analysis: TI fab ramp puts analog rivals on notice". EE Times .
  6. Cataldo, Anthony (1999-04-01). "TI to shutter older fab in Japan". EE Times .
  7. "Texas Instruments: Japan Factory Suffers "Substantial Damage"". Forbes .
  8. "TI Expands Analog Production Capacity with Acquisition of Wafer Manufacturing Plant in Japan – News".
  9. "TI at a glance | Manufacturing | TI.com". www.ti.com.
  10. "Texas Instruments to acquire Micron chip plant in Lehi for $900 million". 30 June 2021.
  11. Clarke, Peter (December 15, 2022). "Texas Instruments' Lehi wafer fab starts production".
  12. "TI at a glance | Manufacturing | Richardson | TI.com". www.ti.com.
  13. McGrath, Dylan (2011-06-30). "Photo gallery: Inside TI's RFAB". EE Times .
  14. "(PRN) Texas Instruments DMOS6 Receives 'Top Fab of the Year' Honor from Semiconductor International". Chron. December 2006.
  15. "TI's 200mm-Fab in Freising: Ein Tag im Leben eines Fab-Managers".
  16. "Texas Instruments will expand in Chengdu, China (Updated stock price)". 7 June 2013.
  17. 1 2 3 4 "Is China ready for a memory chip fab? – EE Times Asia" . Retrieved 2018-02-12.
  18. 1 2 3 4 5 6 7 8 9 10 11 12 13 "Much Ado About China's Big IC Surge; EE Times". Eetimes.com. 2017-06-22. Retrieved 2017-06-22.
  19. 1 2 "3D NAND Fab Seen as Milestone for China | EE Times". EETimes. Retrieved 2017-12-29.
  20. "Overview - 长鑫存储技术有限公司" [Overview - ChangXin Memory Technology Co., Ltd.]. Archived from the original on 2022-11-23.
  21. "China set to produce first locally designed DRAM chip". Nikkei Asia.
  22. Yoshida, Junko (2019-12-03). "ChangXin Emerging as China's First & Only DRAM Maker".
  23. 1 2 3 4 5 6 7 "SMIC – Fab Information". Smics.com. Archived from the original on 2011-11-27. Retrieved 2017-03-22.
  24. "SMIC Reports Unaudited Results for the Three Months Ended December 31, 2019" (PDF). SMIC. February 13, 2020.
  25. 1 2 "SMIC: Advanced Process Technologies and Gov't Funding". EE Times. 13 July 2020.
  26. "Chinese semiconductor maker SMIC plans US$3.59 billion Beijing plant". South China Morning Post. Retrieved 2017-03-22.
  27. "SMIC Reports 2018 Fourth Quarter Results" (PDF). SMIC. February 14, 2019.
  28. "SMIC builds $7.7 billion fab in Beijing, first phase expected to be completed by 2024". 23 February 2021.
  29. "China's SMIC to invest $8.87 BLN for new chip plant in Shanghai". Reuters. 3 September 2021.
  30. "SMIC plans to build new 28nm wafer fab in Shenzhen". 18 March 2021.
  31. "Chinese chip foundry SMIC to invest $7.5 BLN in new fab in Tianjin". Reuters. 27 August 2022.
  32. "LFoundry: New Frontiers, New Opportunities". Applied Materials. 2014-04-01. Retrieved 2017-03-22.
  33. "Nanya to spend over $800M on DRAM fab | EE Times". EETimes. Retrieved 2018-01-05.
  34. "Google Maps". Google Maps. Retrieved 2018-01-09.
  35. "Contact Us". Nanya.com. Archived from the original on 2018-01-09. Retrieved 2018-01-09.
  36. "Taiwan's Nanya Technology to invest $1.85 bln to boost memory chip out". Reuters. 2017-08-01. Retrieved 2018-01-09.
  37. "Corporate Milestone".
  38. "Nanya to invest NT$300bn for new 12-inch fab – Taipei Times". www.taipeitimes.com. April 21, 2021.
  39. 1 2 3 Andrew Mierau. "Memory and Storage Solutions". Micron Technology. Retrieved 2017-03-22.
  40. "Micron Singapore. – Singapore – Electronics Company". Facebook . Retrieved 2017-03-22.
  41. "Intel, Micron open US$3 billion NAND flash facility in Singapore". DigiTimes. 2011-04-11. Retrieved 2011-04-11.
  42. "Security Check Required". Facebook . Retrieved 2017-03-22.
  43. Shilov, Anton. "Micron Starts Construction of Its Third NAND Fab in Singapore". www.anandtech.com.
  44. "Micron Technology Completes Acquisition of Inotera Memories of Taiwan (NASDAQ:MU)". Investors.micron.com. Retrieved 2017-03-22.
  45. "Industry Insights". Yole Group.
  46. "Micron Semiconductor Asia Pte. Ltd. – Singapore – Commercial & Industrial". Facebook . Retrieved 2017-03-22.
  47. 1 2 3 4 5 "Taichung". Micron.com. Archived from the original on 2018-01-09. Retrieved 2018-01-09.
  48. "Inotera memories". 2015-04-27. Archived from the original on 2015-04-27. Retrieved 2018-01-09.
  49. 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 "Intel Global Manufacturing Facts" (PDF). Download.intel.com. Retrieved 2017-03-22.
  50. 1 2 3 4 5 6 7 8 9 10 11 12 "Moore's Law Around the World, in Bricks and Mortar". 2010-10-21. Archived from the original on July 13, 2011.
  51. "Intel Announces Multi-Billion-Dollar Investment in Next-Generation Manufacturing in U.S." Intel Newsroom. Retrieved 2017-03-22.
  52. Pallatto, John (25 October 2007). "Intels $3 Billion Fab Now Open for Business". Eweek.com. Retrieved 2017-03-22.
  53. "Intel to Invest More than $5 Billion to Build New Factory in Arizona". Intel Newsroom. Retrieved 2017-03-22.
  54. Swartz, Jon (2011-03-29). "Intel's new $5 billion plant in Arizona has Obama's blessing". USA Today. Retrieved 2011-03-28.
  55. "Intel will invest $7 billion to finish a factory it started in 2011". 8 February 2017.
  56. "Intel and Trump Announce $7B for Fab 42 Targeting 7nm". HPCwire. 2017-02-08. Retrieved 2017-03-18.
  57. 1 2 3 4 "Intel CEO Pat Gelsinger Announces IDM 2.0 Strategy for Manufacturing, Innovation and Product Leadership". Newsroom.intel.com. Retrieved 2021-04-12.
  58. McGregor, Jim (2021-03-23). "Intel Invests $20 Billion In 2 New Arizona Fabs". USA Today. Retrieved 2021-04-12.
  59. 1 2 "Intel in Israel: A Old Relationship Faces New Criticism". Knowledge.wharton.upenn.edu. 2014-09-29. Retrieved 2017-03-22.
  60. 1 2 "Intel Israel Fab Tour – The First Official Intel Press Event in Israel". Ixbtlabs.com. Retrieved 2017-03-22.
  61. "INTEL Ireland Fab 24 NOW Recruiting – CareersPortal.ie". www.careersportal.ie. Retrieved 2015-10-20.
  62. "How do you turn sand into a processor? We entered the clean room at Intel's manufacturing plant". Time News. September 30, 2022.
  63. Rashid, Fahmida Y. (2010-10-26). "Intel Opens $2.5 Billion Fab Plant in China". eWeek . Retrieved 2023-09-07.
  64. "Intel in Dalian, China". Intel.com. Retrieved 2016-08-04.
  65. "TowerJazz Completes Acquisition of Maxim's Fabrication Facility in San Antonio, Texas" (PDF). towerjazz.com. 2016-02-02. Archived from the original (PDF) on 2016-03-31. Retrieved 2017-05-25.
  66. "Manufacturing at Tower Semiconductor". towersemi.com. 18 January 2018. Archived from the original on 14 June 2012. Retrieved 14 June 2012.
  67. 1 2 3 4 5 6 "Manufacturing at Tower Semiconductor". Towersemi.com. Retrieved 2017-03-22.
  68. 1 2 3 "Manufacturing Facilities – Tower Panasonic Semiconductor Co". Tpsemico.com. Retrieved 2018-07-20.
  69. 1 2 "About Foundry Service – Nuvoton". Nuvoton.com.
  70. "Semi-Conductor Laboratory (SCL)". Department of Space, Government of India.
  71. 1 2 3 "About Us | Defence Research and Development Organisation – DRDO, Ministry of Defence, Government of India".
  72. 1 2 3 4 "Sitar.org.in". Sitar.org.in. Retrieved 2022-03-09.
  73. 1 2 "Gallium Arsenide Enabling Technology Centre". Archived from the original on 2020-11-30. Retrieved 2020-12-11.
  74. 1 2 3 4 "Locations – Qorvo". www.qorvo.com.
  75. "Worldwide Locations – Maxim". Maximintegrated.com. 2016-08-22. Retrieved 2017-03-22.
  76. "Apple buys former Maxim chip fab in North San Jose, neighboring Samsung Semiconductor". AppleInsider.
  77. "Worldwide Locations – Maxim". Maximintegrated.com. 2016-08-22. Retrieved 2017-03-22.
  78. Clarke, Peter (January 17, 2023). "Analog Devices spending $1 billion on fab upgrade".
  79. 1 2 3 4 5 6 7 8 9 10 11 12 13 "Rohm Buys Renesas Wafer Fab". EE Times. Retrieved 2018-07-20.
  80. "Oki Semiconductor Distributor". Mouser.
  81. 1 2 3 4 5 6 7 8 9 10 11 12 13 LTD., LAPIS Semiconductor CO. "History | Company | LAPIS Semiconductor". Lapis-semi.com. Archived from the original on 2017-10-26. Retrieved 2018-02-17.
  82. 1 2 "Kionix, Inc., Company Profile – global". Kionix.com.
  83. 1 2 3 4 5 6 7 8 9 10 11 12 13 14 Fletcher, A. (2013-10-22). Profile of the Worldwide Semiconductor Industry – Market Prospects to 1997: Market Prospects to 1997. Elsevier. ISBN   9781483284859.
  84. 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 "Japanese Company Profiles" (PDF). Profiles 1996 - A Worldwide Survey of IC Manufacturers and Suppliers. Integrated Circuit Engineering Corporation. Archived from the original (PDF) on 19 April 2023. Retrieved 14 August 2023.
  85. "Japan earthquakes close Oki wafer fab". EE Times. Retrieved 2018-07-20.
  86. "大町工場 | 富士電機パワーセミコンダクタ株式会社". Fujielectric.co.jp.
  87. "飯山工場 | 富士電機パワーセミコンダクタ株式会社". Fujielectric.co.jp.
  88. "北陸工場 | 富士電機パワーセミコンダクタ株式会社". Fujielectric.co.jp.
  89. "本社 | 富士電機パワーセミコンダクタ株式会社". Fujielectric.co.jp.
  90. 1 2 "History of Fujitsu's Semiconductor Business : FUJITSU SEMICONDUCTOR". Fujitsu.com.
  91. 1 2 3 "Odds of Success of Mie Fujitsu, Japan's Pure-Play Foundry". EE Times. Retrieved 2018-07-20.
  92. 1 2 3 "MIE FUJITSU SEMICONDUCTOR LIMITED". Fujitsu.com.
  93. 1 2 3 "Fujitsu to Construct New Fab for Logic Chips Employing 65nm Process Technology and 300mm Wafers – Fujitsu United States". Fujitsu.com. Retrieved 2017-03-22.
  94. 1 2 3 "Japan Plants – Fujitsu Global". Fujitsu.com. Retrieved 2017-03-22.
  95. "Fujitsu invests in 65nm fab at Mie". 11 January 2006.
  96. "Odds of Success of Mie Fujitsu, Japan's Pure-Play Foundry". EE Times. Retrieved 2018-07-20.
  97. "Odds of Success of Mie Fujitsu, Japan's Pure-Play Foundry". EE Times. Retrieved 2018-07-20.
  98. "Suzaka Plant – Fujitsu Global". Fujitsu.com.
  99. "Iwate Plant – Fujitsu Global". Fujitsu.com.
  100. "History : FUJITSU SEMICONDUCTOR". Fujitsu.com.
  101. "DENSO Global Website". DENSO Global Website.
  102. "DENSO Iwate to Build a New Plant and Expand Production as Part of Efforts to Enhance the DENSO Group Production System – News – DENSO Global Website". Denso.com.
  103. "Denso to expand Iwate plant to produce instrument clusters". Just-auto.com. 29 March 2017.
  104. 1 2 "Canon Inc. Operations – Canon Global". Canon Global.
  105. "Canon wants its image sensors in others' cars, robots". Nikkei Asian Review.
  106. CORPORATION, SHARP. "環境に配慮したモノづくり 工場からエコ".
  107. 1 2 "About us – Company Data – JAPAN SEMICONDUCTOR CORPORATION". www.jsemicon.co.jp.
  108. "TSMC Celebrates the Opening of JASM in Kumamoto, Japan" (PDF). TSMC (Press release). Feb 24, 2024. Retrieved Mar 2, 2024.
  109. "Toshiba : Press Release (9 Feb, 2017): Toshiba Starts Construction of Fab 6 and Memory R&D Center at Yokkaichi, Japan". Toshiba.co.jp.
  110. 1 2 3 "Data" (PDF). www.toshiba.co.jp.
  111. "Yokkaichi". The Memory Guy.
  112. "Did Toshiba REALLY Lose 3–6 Weeks' Production?". The Memory Guy. 17 October 2017.
  113. "Ransomware attack on Toshiba means 400,000TB of SSD storage is missing". PCGamesN. 16 October 2017.
  114. "Toshiba reportedly suspends NAND flash production in Japan". DIGITIMES. 16 October 2017.
  115. 1 2 "Press Release (12 Jul, 2011): Toshiba and SanDisk Celebrate the Opening of Fab 5 300mm NAND Flash Memory Fabrication Facility in Japan". Toshiba.co.jp. 2011-07-12. Retrieved 2017-03-22.
  116. "Toshiba and SanDisk Celebrate the Opening of the Second Phase of Fab 5 and Start Construction of the New Fab 2 Semiconductor Fabrication Facility at Yokkaichi, Japan". Sandisk.com. Retrieved 2015-10-20.
  117. "Toshiba : Press Releases 13 April, 2004". Toshiba.co.jp.
  118. "Toshiba : Press Releases 04 September, 2007". Toshiba.co.jp.
  119. "Toshiba : Press Releases 31 May, 2006". Toshiba.co.jp.
  120. "Toshiba : Press Releases 2 February, 2004". Toshiba.co.jp.
  121. 1 2 3 4 "Toshiba : Press Release (9 Feb, 2017): Toshiba Starts Construction of Fab 6 and Memory R&D Center at Yokkaichi, Japan". Toshiba.co.jp.
  122. 1 2 3 "Information" (PDF). www.toshiba.co.jp.
  123. "Toshiba : Press Release (8 Nov, 2016): Toshiba to Expand 3D Flash Memory Production Capacity by Building New Fabrication Facility at Yokkaichi". Toshiba.co.jp.
  124. 1 2 "Toshiba looks to build new Yokkaichi chip plant without partner Western Digital, further fueling feud". Japan Times Online. 4 August 2017.
  125. "Western Digital to invest $4.6bn in joint venture with Toshiba". Nikkei Asian Review.
  126. "Toshiba to invest extra ¥110 billion in Yokkaichi plant amid row with Western Digital | the Japan Times". Archived from the original on 2017-12-12. Retrieved 2022-08-05.
  127. "Toshiba : Press Release (15 Jul, 2016): Toshiba and Western Digital Celebrate the Opening of New Fab 2 Semiconductor Fabrication Facility in Yokkaichi, Japan". Toshiba.co.jp.
  128. "Toshiba and Western Digital Celebrate the Opening of New Fab 2 Semiconductor Fabrication Facility in Yokkaichi, Japan". Businesswire.com. 15 July 2016.
  129. Shilov, Anton. "Toshiba Memory to Build New Fab to Produce BiCS 3D NAND". www.anandtech.com.
  130. Shilov, Anton. "Toshiba Begins to Construct New BiCS 3D NAND Fab in Iwate Prefecture". www.anandtech.com.
  131. Shilov, Anton. "Toshiba to Build New Fab to Produce BiCS NAND Flash". www.anandtech.com.
  132. Shilov, Anton. "Toshiba Memory & Western Digital Finalize Fab K1 Investment Agreement". www.anandtech.com.
  133. "Western Digital Process Technician Job in Fremont, CA | Glassdoor". www.glassdoor.com. Archived from the original on 2018-02-20. Retrieved 2018-02-20.
  134. "Vacature voor een functie als Process Technician bij Western Digital …". archive.is. 2018-02-20. Archived from the original on 2018-02-20. Retrieved 2018-02-20.
  135. 1 2 3 Ltd., Hitachi Power Semiconductor Device. "Company Office : Hitachi Power Semiconductor Device, Ltd". Hitachi-power-semiconductor-device.co.jp.
  136. 1 2 "ABB inaugurates new semiconductor manufacturing unit in Switzerland". Abb.com.
  137. "abb-inaugurates-new-semiconductor-manufacturing-unit-in-switzerland".
  138. "Clean Room HVAC for ABB semiconductors plant in Czech Republic".
  139. 1 2 "MITSUBISHI ELECTRIC Global website". MITSUBISHI ELECTRIC Global Website.
  140. 1 2 "三菱電機 三菱電機について 拠点情報". 三菱電機 オフィシャルサイト.
  141. 1 2 3 "三菱電機 三菱電機について 拠点情報". 三菱電機 オフィシャルサイト.
  142. "Products & Services". Archived from the original on 2011-07-20. Retrieved 2011-05-27.
  143. 1 2 3 力晶科技股份有限公司. "About Powerchip". 力晶科技股份有限公司. Archived from the original on 2017-09-07. Retrieved 2017-09-07.
  144. 1 2 3 力晶科技股份有限公司. "Technologies & Services". 力晶科技股份有限公司. Archived from the original on 2017-09-07. Retrieved 2017-09-07.
  145. "ProMOS Goes for 70nm DRAM". SOFTPEDIA. 2007-08-13. Retrieved 2011-05-27.
  146. "Record fab construction reached in second quarter, says report". EE Times. 2004-07-02. Retrieved 2011-05-31.
  147. 1 2 3 "Macronix – Company Overview". Macronix.com. Archived from the original on 2017-09-07. Retrieved 2017-09-07.
  148. "Macronix to expand its advanced chip capacity". Taipei Times. 2021-07-28. Retrieved 2023-04-07.
  149. 1 2 3 4 5 6 7 "Rest of World Company Profiles" (PDF). Profiles 1996 - A Worldwide Survey of IC Manufacturers and Suppliers. Integrated Circuit Engineering Corporation. Archived from the original (PDF) on 13 April 2023.
  150. 1 2 3 4 5 6 7 8 "Global Operations".
  151. "Panasonic and Renesas Start Operation of New Development Line for Leading-Edge SoC Process Technologies at the Renesas Naka Site | Press Release". Panasonic Newsroom Global.
  152. 1 2 3 "TSMC's huge Fab 6 cranks out 8-inch wafers, but sets 300-mm pace". EE Times. Retrieved 2018-07-20.
  153. 1 2 "TSMC to acquire WSMC foundry". EE Times. Retrieved 2018-07-20.
  154. 1 2 "IDT to Close Salinas Wafer Fab, Cut 260 Jobs". EDN. Retrieved 2018-07-20.
  155. Commission, United States International Trade (17 July 1992). "DRAMs of one megabit and above from the Republic of Korea: determination of the Commission in investigation no. 731-TA-556 (preliminary) under the Tariff Act of 1930, together with the information obtained in the investigation". The Commission via Google Books.
  156. Anderson, Mark. "Telefunken no more: Company changes name to TSI Semiconductors". Bizjournals.com. Sacramento Business Journal. Retrieved 2014-06-30.
  157. "Renesas sells U.S. fab to Telefunken". EE Times. 2011-03-30. Retrieved 2011-05-31.
  158. "Micronas Builds Second Fab Module to Meet Market Demands (0007) - micronas.com". Micronas.com.
  159. "Company - micronas.com". Micronas.com.
  160. "Renesas to Transfer 5-inch Wafer Fab to TDK | Electronics360". electronics360.globalspec.com. Retrieved 2018-02-21.
  161. "TDK and Renesas Electronics Sign Basic Agreement on Transfer of Renesas Electronics Subsidiary's Tsuruoka Factory | Press Releases | TDK". www.global.tdk.com. Retrieved 2018-02-21.
  162. 1 2 3 4 5 6 7 8 9 "Fab Capacity Increasing through Acquisition of Legacy Semiconductor Facilities - SEMI.ORG". Semi.org. Archived from the original on 2018-02-21. Retrieved 2018-02-21.
  163. "TDK HDD Head Wafer Fab Upgrades to Version 5.6 of FabTime Software, Renews Maintenance Contract" . Retrieved 2018-02-21.
  164. "Tronics opens MEMS wafer fab in Texas". EETE Analog. 2017-05-01. Retrieved 2018-02-21.
  165. "Peregrine Semi and OKI Achieve Record UltraCMOS™ RFIC Output – pSemi". www.psemi.com. 5 June 2007. Retrieved 2018-02-17.
  166. 1 2 3 "金沢村田製作所 新生産棟竣工式について – 村田製作所". Murata.com.
  167. 1 2 "会社概要 – 金沢村田製作所". Murata.com.
  168. "仙台工場 – 金沢村田製作所". Murata.com. Archived from the original on 2017-09-09. Retrieved 2017-09-09.
  169. "製品情報 – 金沢村田製作所". Murata.com.
  170. "Murata Manufacturing Company, Ltd. Yasu Division – Murata Manufacturing Co., Ltd". Murata.com.
  171. "Murata Finland | Join the Innovators in Electronics!". muratafinland.com.
  172. "Murata | Uusi Vantaankoski -ideakilpailu". www.uusivantaankoski.fi.
  173. "Murata ackquires VTI Technologies". 21 November 2012.
  174. "Murata factory expansion, Vantaa". NCC.
  175. "Murata Invests in MEMS Sensor Manufacturing in Finland | Business Wire". www.sttinfo.fi.
  176. 1 2 "mitsumi web". Mitsumi.co.jp.
  177. 1 2 3 4 5 6 "生産拠点一覧|会社案内|ソニーセミコンダクタマニュファクチャリング株式会社". Sony-semiconductor.co.jp. Archived from the original on 2019-07-13. Retrieved 2017-08-23.
  178. "Status of Sony Group Manufacturing Operations Affected by the East Japan Earthquake, Tsunami and Related Power Outages". Sony Global – Sony Global Headquarters.
  179. "Sony Establishes Yamagata Technology Center to Increase Production Capacity for CMOS Image Sensors". Sony Global – Sony Global Headquarters.
  180. "Nintendo and the Wii U May Be in Trouble due to Closure of Vital Semiconductor Factory". 4 August 2013.
  181. 1 2 "Global Network < ABOUT US < SK hynix". Skhynix.com. Archived from the original on 2017-09-09. Retrieved 2017-09-09.
  182. "History < ABOUT US < SK hynix". Skhynix.com. Archived from the original on 2017-09-09. Retrieved 2017-09-09.
  183. 1 2 3 "SK hynix Inc. to Construct a Cutting Edge NAND Flash FAB in Cheongju". SK Hynix (Press release). December 22, 2016.
  184. 1 2 Shilov, Anton. "SK Hynix to Build a New NAND Fab, Upgrade Existing DRAM Fab".
  185. "Korea – Global Network – Company | LG Innotek". Archived from the original on 2017-10-14. Retrieved 2017-10-05.
  186. "ON Semiconductor and GLOBALFOUNDRIES Partner to Transfer Ownership of East Fishkill, NY 300mm Facility". GLOBALFOUNDRIES. April 22, 2019.
  187. Anderson, Eric (April 22, 2019). "GlobalFoundries selling East Fishkill plant". Times Union.
  188. "Inside IBM's 300mm chip fab: Photos". ZDNet.
  189. 1 2 3 Young, Liz (April 23, 2019). "$430 million sale of East Fishkill plant a 'win-win' for GlobalFoundries and ON Semi, analysts say". Albany Business Review.
  190. "Manufacturing Facility in Oregon". Onsemi.com. Retrieved 2017-03-22.
  191. "Message from the President". United Semiconductor Japan. 17 December 2018.
  192. "AIZU FUJITSU SEMICONDUCTOR LIMITED". Fujitsu.com.
  193. "Aizu Wakamatsu Plant – Fujitsu Global". Fujitsu.com.
  194. "Business : AIZU FUJITSU SEMICONDUCTOR LIMITED". Fujitsu.com.
  195. "Fujitsu Semiconductor starts operations of new foundry companies : FUJITSU SEMICONDUCTOR". Fujitsu.com.
  196. "Foundry Services : FUJITSU SEMICONDUCTOR". www.fujitsu.com.
  197. "Foundry Services – Fujitsu United States". www.fujitsu.com.
  198. "Manufacturing Facility in Japan". Onsemi.com.
  199. "Ailing Sanyo asks employees to buy company products". Japan Times Online. 30 January 2005.
  200. Clarke, Peter (February 18, 2022). "Belgian wafer fab sold to GaN startup with China connections".
  201. 1 2 3 4 "European Company Profiles" (PDF). Profiles 1996 - A Worldwide Survey of IC Manufacturers and Suppliers. Integrated Circuit Engineering Corporation. Archived from the original (PDF) on 13 April 2023. Retrieved 14 August 2023.
  202. "Design & Manufacturing Center in Idaho". Onsemi.com. Retrieved 2017-03-22.
  203. Matsumoto, Craig (10 November 1997). "New AMI fab a symbol of dramatic comeback". Electronic Engineering Times. No. 980. p. 32. ProQuest   208110254.
  204. "Diodes Incorporated Completes Acquisition of onsemi's South Portland, Maine Wafer Fabrication Facility and Operations – Diodes Incorporated". www.diodes.com. 3 June 2022. Retrieved 2022-07-05.
  205. "Diodes Incorporated: Analog, Discrete, Logic, and Mixed-Signal ICs". Diodes.com. Retrieved 2017-03-22.
  206. "Diodes Incorporated to Acquire BCD Semiconductor Manufacturing Limited – Diodes Incorporated". www.diodes.com. Archived from the original on 2017-11-07. Retrieved 2017-11-05.
  207. 1 2 3 www.akacia.com.tw, Designed by Akacia System | 旭亞系統設計(股)公司. "Worldwide Contact – Liteon". optoelectronics.liteon.com. Archived from the original on 2017-09-25. Retrieved 2017-09-25.
  208. 1 2 3 4 5 "Lite-On Semiconductor Corp. offers a series of discretes, rectifiers, analog ICs, foundry service, Contact Image Sensors, Ambient Light Sensors, Proximity Sensors, Optical Touch Panel Sensors etc". www.liteon-semi.com.
  209. "Philips Photonics". www.photonics.philips.com.
  210. "Philips plans to double size of MEMS foundry". 29 September 2016.
  211. "Newport Wafer Fab are the world's first CS & Silicon foundry". www.newportwaferfab.co.uk.
  212. 1 2 3 "Fast and agile semiconductor production at Newport Wafer Fab". www.newportwaferfab.co.uk. Archived from the original on 2020-02-27. Retrieved 2020-02-27.
  213. "Newport Wafer Fab power technologies". www.newportwaferfab.co.uk. Archived from the original on 2020-02-27. Retrieved 2020-02-27.
  214. 1 2 "Manufacturing – Nexperia". Nexperia.com.
  215. "NXP in the Netherlands|NXP". Nxp.com. Retrieved 2018-03-08.
  216. "NXP Semiconductors | Automotive, Security, IoT". Freescale. Retrieved 2017-03-22.
  217. "R & D Collaboration on Trial: The Microelectronics and Computer Technology Corporation". Harvard Business School Press. 1994. ISBN   9780875843643 . Retrieved 2011-10-06.
  218. "NXP Semiconductors | Automotive, Security, IoT". Freescale. Retrieved 2017-03-22.
  219. "Manufacturing Locations | Everspin". Everspin.com. Retrieved 2018-02-08.
  220. "Motorola Restarts MOS 12 Facility Expansion". Electronic News. 1999. Archived from the original on 2012-07-08. Retrieved 2011-10-06.
  221. "NXP and Freescale Announce $40 Billion Merger | Freescale". Archived from the original on 2015-10-21. Retrieved 2015-07-21.
  222. Patricia A. Wilson (2010-07-22). Exports and Local Development: Mexico's New Maquiladoras. University of Texas Press. p. 82. ISBN   9780292785571 . Retrieved 2017-03-22.
  223. 1 2 3 4 5 "SKYWORKS : Locations". www.skyworksinc.com.
  224. 1 2 "WIN Semiconductors Corp. – Our Locations". www.winfoundry.com. Archived from the original on 2018-01-09. Retrieved 2018-01-09.
  225. 1 2 "WIN Semiconductors Corp. Overview". www.winfoundry.com. Archived from the original on 2018-01-09. Retrieved 2018-01-09.
  226. "environmental sensors, light sensors, image sensors, audio sensors, optical sensors – sensing is life". Ams.com. 2017-03-16. Retrieved 2017-03-22.
  227. "Osram puts €2bn R&D and plans biggest LED fab". 9 December 2015.
  228. "Osram Inaugurates New Kulim 6-inch LED Chip Fab – LEDinside". www.ledinside.com.
  229. Siu Han; Adam Hwang (2017-10-16). "Osram Opto Semiconductors to start production at new Malaysia plant". Digitimes. Retrieved 2018-07-20.
  230. "Osram LED chip plant in Penang now in operation". www.ledsmagazine.com. 10 December 2009.
  231. "On an expansion course with second LED chip production plant | OSRAM Opto Semiconductors". Archived from the original on 2017-11-07. Retrieved 2017-11-05.
  232. "The City of Regensburg | Careers Europe | OSRAM Opto Semiconductors". Archived from the original on 2017-11-07. Retrieved 2017-11-05.
  233. "Osram Optoelectronics Chip Factory, Regensburg – Semiconductor Technology". www.semiconductor-technology.com.
  234. "Winbond – Memory Product Foundry". Archived from the original on 2011-10-08. Retrieved 2011-05-27.
  235. "Winbond – Locations". Winbond.com.
  236. "CTSP Fab, Winbond Electronics Corp". Jjpan.com. Archived from the original on 2017-09-25. Retrieved 2017-09-25.
  237. "CTIMES News – Winbond to Establish Factory in Kaohsiung to Manufacture Niche Type DRAM and Flash Memory". en.ctimes.com.tw. Retrieved 17 July 2018.
  238. "VIS – Specialty IC Foundry of Choice". Vis.com.tw. Retrieved 2017-12-19.
  239. 1 2 3 4 "200mm Manufacturing". Archived from the original on 2014-06-25. Retrieved 2014-08-06.
  240. "Fab Locations". Taiwan Semiconductor Manufacturing Company Limited. Retrieved 2012-04-21.
  241. 1 2 "TSMC to close fab that started foundry movement". EE Times. Retrieved 2018-07-20.
  242. "TSMC will buy chip venture from Acer to boost foundry capacity". EE Times. Retrieved 2018-07-20.
  243. "TSMC takes full ownership of Acer Group foundry operations". EE Times. Retrieved 2018-07-20.
  244. "TSMC buys out Acer fab". EE Times. Retrieved 2018-07-20.
  245. "TSMC starts 300-mm fab construction, but shifts Fab 7 plans to 8 inch". EE Times. Retrieved 2018-07-20.
  246. "TSMC renames two recently-acquired fabs". Electronics Weekly. 3 May 2000.
  247. 1 2 "Taiwan Semiconductor Manufacturing Company Limited". Tsmc.com.
  248. "TSMC Acquires PSC Land for New Fab Construction". Taiwan Economic News. 2011-01-13. Archived from the original on 2011-07-24. Retrieved 2011-01-13.
  249. Divide 2 million by 12, rounded
  250. "TSMC breaks ground on $9B fab complex | EE Times". EETimes. Retrieved 2017-12-17.
  251. "TSMC Breaks Ground on Fab 18 in Southern Taiwan Science Park". Tsmc.com.
  252. Shilov, Anton. "TSMC Starts to Build Fab 18: 5 nm, Volume Production in Early 2020". Anandtech.com.
  253. eTeknix.com (5 February 2018). "TSMC Starts Building Fab 18 for 5nm Production – eTeknix".
  254. Discuss, Raevenlord. "TSMC to Build World's First 3 nm Fab in Taiwan". TechPowerUp.
  255. eTeknix.com (3 October 2017). "TSMC Wants to Build a 3 nm Fab in Taiwan – eTeknix".
  256. 1 2 Smith, Ryan. "TSMC To Build 5nm Fab In Arizona, Set To Come Online In 2024". AnandTech. Retrieved 11 April 2021.
  257. 1 2 3
  258. 1 2 3 4 5 6 7 8 9 10 11 "Epistar- Solutions for LED lighting, LED Applications, Co-activation service". www.epistar.com.tw.
  259. "UPDATE 1-Taiwan's TSMC exits LED lighting business with $26 mln..." Reuters .
  260. "Taiwan Semiconductor Manufacturing Company Limited". tsmc.com. Archived from the original on 2018-01-28. Retrieved 2017-11-05.
  261. "TSMC looks at solid state lighting market". EE Times. Retrieved 2018-07-20.
  262. 1 2 http://www.bosch-career.de/de/technikvision/download/Factsheet_WaferFab%2BReutlingen.pdf [ dead link ]
  263. "Bosch beginnt Bau neuer 300-mm-Fab in Dresden". 25 April 2018.
  264. "Bosch open to making MEMS for others". 19 September 2016.
  265. Chieh, Hang Chang; Seng, Low Teck; Raj, Thampuran (2016-03-07). The Singapore Research Story. World Scientific. p. 120. ISBN   9789814641289 . Retrieved 2017-03-22.
  266. 1 2 3 "Analog/Mixed-Signal Semiconductor Foundry: Germany (Headquarters) single". Xfab.com. Archived from the original on 2016-10-15. Retrieved 2017-03-22.
  267. 1 2 3 "Analog/Mixed-Signal Semiconductor Foundry: Germany (Dresden) single". Xfab.com. Retrieved 2017-03-22.
  268. 1 2 "Analog/Mixed-Signal Semiconductor Foundry: Germany (Itzehoe) single". Xfab.com. Retrieved 2017-03-22.
  269. "Malaysian start-up signs wafer-processing agreement with Sharp". EE Times. Retrieved 2018-07-20.
  270. "X-Fab set to buy Malaysia's 1st Silicon". EE Times. Retrieved 2018-07-20.
  271. 1 2 3 "Analog/Mixed-Signal Semiconductor Foundry: Malaysia single". Xfab.com. Retrieved 2017-03-22.
  272. 1 2 3 "Analog/Mixed-Signal Semiconductor Foundry: USA (Texas) single". Xfab.com. Retrieved 2017-03-22.
  273. "X-Fab to Swallow Altis Semiconductor". EE Times. Retrieved 2018-07-20.
  274. 1 2 3 4 5 Website, IXYS. "Global Operations". ixys.com.
  275. "Samsung Electronics Begins Mass Production at New EUV Manufacturing Line". news.samsung.com. Retrieved 2020-02-21.
  276. "Man ufacturing". Samsung. Retrieved 2017-08-10.
  277. 1 2 3 4 5 "Samsung Electronics Begins Mass Production at New Semiconductor Plant in Pyeongtaek, South Korea". news.samsung.com.
  278. "Samsung Is Investing $18 Billion in Memory Chip Production". Fortune. Retrieved 2018-02-17.
  279. "Man ufacturing". Samsung. Retrieved 2017-06-22.
  280. "Samsung to Invest More than $1 Billion in Texas Factory".
  281. 1 2 "Samsung Breaks Ground on $14 Billion Fab". EE Times. Retrieved 2018-07-20.
  282. "Samsung Opens Largest Wafer Plant In Austin Texas". Samsung Semiconductor Global.
  283. 1 2 "Manufacturing". Samsung. Retrieved 2017-08-22.
  284. "Project Archive || Samsung C&T". Secc.co.kr. Archived from the original on 2015-09-05.
  285. "News". www.businesswire.com.
  286. "About us – Our Business Overview – Samsung Semiconductor – Samsung Semiconductor Global Website". Samsung.com.
  287. Shilov, Anton. "Samsung's Multi-Billion Fab in Pyeongtaek Starts Production of 64-Layer V-NAND".
  288. Lee, Se Young (6 October 2014). "Samsung Electronics makes $14.7 billion bet with new South Korean..." Reuters .
  289. "Samsung investing $14.7 billion in new chip fabrication facility". PCWorld.
  290. "Summer of Samsung: A Corruption Scandal, a Political Firestorm—and a Record Profit". Bloomberg News . 27 July 2017.
  291. Shilov, Anton. "Samsung Preps to Build Another Multi-Billion Dollar Memory Fab Near Pyeongtaek".
  292. www.etnews.com (7 February 2018). "Samsung to Start Constructing Its Second Semiconductor Plant in Pyeongtaek".
  293. Shilov, Anton. "Samsung's Multi-Billion Fab in Pyeongtaek Starts Production of 64-Layer V-NAND".
  294. "Samsung to begin investing in new domestic memory chip line: Yonhap". Reuters . 7 February 2018.
  295. "Samsung Is Nearly Finished Building World's Largest Factory - Androidheadlines.com". 12 April 2017.
  296. "About Samsung Foundry ㅣ SAMSUNG FOUNDRY". www.samsungfoundry.com.
  297. 1 2 "Location of Our Offices". Samsung. Retrieved 2017-08-22.
  298. "Samsung to spend $7 billion on wafer fab in Xian, China". EE Times. 2012-04-03. Retrieved 2017-06-22.
  299. "Samsung Puts 3D NAND Production Line in Xi'an into Full Operation". BusinessKorea. 2015-12-21. Archived from the original on 2017-06-16. Retrieved 2017-06-22.
  300. 1 2 3 "Samsung LED │LED Components & Engines, Smart Lighting Solutions". Archived from the original on 2017-09-10. Retrieved 2017-09-09.
  301. "Seagate Technology Wafer Processing | Minneapolis | Mortenson". www.mortenson.com. Retrieved 2018-02-20.
  302. "Seagate Technology Recording Head Wafer Fab Facility | International Projects | Mortenson". www.mortenson.com. Retrieved 2018-02-20.
  303. "Seagate's Supply Chain Excellence Recognized | Seagate". Seagate.com (in Spanish). Retrieved 2018-02-20.
  304. "Where Do Hard Drive Heads Come From?". archive.is. 2018-02-20. Archived from the original on 2018-02-20. Retrieved 2018-02-20.
  305. "Where Do Hard Drive Heads Come From?". Tom's Hardware. 2008-11-20. Retrieved 2018-02-20.
  306. "Contact Us". www.broadcom.com.
  307. "Cree Careers – Cree, Inc". careers-cree.icims.com. Archived from the original on 2017-09-10. Retrieved 2018-07-17.
  308. "Cree Careers – Cree, Inc". careers-cree.icims.com. Archived from the original on 2017-09-10. Retrieved 2017-09-10.
  309. "Infineon Technologies Austria AG" (PDF). Infineon.com. Retrieved 2017-03-22.
  310. "Infineon Technologies Dresden: At a glance" (PDF). December 1, 2017. Archived from the original (PDF) on December 1, 2017.
  311. "Infineon Technologies Dresden" (PDF). Infineon.com. Retrieved 2017-03-22.
  312. "Our Locations – Infineon Technologies". Infineon.com. Retrieved 2017-03-22.
  313. "Infineon launches Kulim fab". EE Times. Retrieved 2017-03-22.
  314. "Infineon Technologies Eckdaten Regensburg" (PDF). Infineon.com. Retrieved 2017-03-22.
  315. AG, Infineon Technologies. "Our Locations – Infineon Technologies". Infineon.com. Retrieved 2017-11-27.
  316. AG, Infineon Technologies. "Our Locations – Infineon Technologies". Infineon.com. Retrieved 2018-02-08.
  317. "Introduction to the D-Wave Quantum Hardware – D-Wave Systems". Dwavesys.com.
  318. 1 2 "Meet D-Wave – D-Wave Systems". Dwavesys.com.
  319. 1 2 3 "300mm Manufacturing". Archived from the original on 2015-05-02. Retrieved 2015-05-14.
  320. O'Shea, Dan (July 19, 2021). "GlobalFoundries unveils plan to double NY fab capacity". Fierce Electronics.
  321. "GLOBALFOUNDRIES to Acquire Land in Malta, NY, Positioning its Advanced Manufacturing Facility for Future Growth | GLOBALFOUNDRIES". Archived from the original on 2020-10-30. Retrieved 2020-10-27.
  322. "Fab 8 Overview". 3 May 2015. Archived from the original on 2015-05-03. Retrieved 17 July 2018.
  323. Admin (2015-07-01). "GlobalFoundries Completes Acquisition of IBM Microelectronics Business". GlobalFoundries. Retrieved 2023-03-01.
  324. McCallum, Kevin. "GlobalFoundries Lauds Leahy for Latest Infusion of Federal Cash". Seven Days. Retrieved 2023-03-01.
  325. 1 2 Millington, Eric (2022-10-17). "$30 Million in Federal Funding to Advance Innovation and Production of Next-Generation GaN Chips at GlobalFoundries Fab in Vermont". GlobalFoundries. Retrieved 2023-03-01.
  326. 1 2 "300mm Wafer Fabrication". 25 December 2010. Archived from the original on 2010-12-25.
  327. "200mm Wafer Fabrication". 25 December 2010. Archived from the original on 2010-12-25.
  328. title="Skorpios Technologies Announces Acquisition of Novati Technologies LLC" https://www.skorpiosinc.com/company/fab/
  329. "An ITW company supporting your photonics needs worldwide. With the acquisition of International Radiation Detectors (IRD) in 2011 and the merger of Cal Sensors (CSI) in 2014". optodiode.com. Retrieved 2018-01-25.
  330. "Infinera Wafer Fab Operator (Temp) Job in Sunnyvale, CA | Glassdoor". www.glassdoor.com. Archived from the original on 2018-02-20. Retrieved 2018-02-20.
  331. "Vacature voor een functie als Wafer Fab Operator (Temp) bij Infinera …". archive.is. 2018-02-20. Archived from the original on 2018-02-20. Retrieved 2018-02-20.
  332. "Silicon Wafer Services & MEMS Foundry". Rogue Valley Microdevices.
  333. Skip, Newberry (April 17, 2019). "A speciality semiconductor fab takes root in Southern Oregon". Portland Business Journal. Retrieved 2021-09-23.
  334. Sperling, Ed (March 13, 2019). "Rogue Valley Microdevices: MEMS Foundry". Semiconductor Engineering.
  335. "Rigetti Launches Full-Stack Quantum Computing Service and Quantum IC Fab". IEEE Spectrum: Technology, Engineering, and Science News. 26 June 2017.
  336. "The Quantum Computer Factory That's Taking on Google and IBM". Wired.
  337. "Rigetti Computing Named to MIT Technology Review's Annual 50 Smartest Companies List". PR Newswire (Press release).
  338. "NHanced Semiconductors, Inc". July 7, 2016.
  339. "Polar Semiconductor, Inc – A Sanken Company". Polarsemiconductor.com. Retrieved 2017-03-22.
  340. 1 2 "Soraa Inc. Fab Process Technician Job in Fremont, CA | Glassdoor". www.glassdoor.com. Archived from the original on 2018-02-20. Retrieved 2018-02-20.
  341. "Vacature voor een functie als Fab Process Technician bij Soraa Inc. i…". archive.is. 2018-02-20. Archived from the original on 2018-02-20. Retrieved 2018-02-20.
  342. "Mirrorcle Technologies moves into new HQ as a result of steady growth". www.cleanroomtechnology.com.
  343. "Unitec Blue :: Evolucion del Futuro". Archived from the original on 2014-01-19. Retrieved 2014-01-17.
  344. Luciana Magalhaes. "Corporación América Buying Batista's Stake in SIX: Argentine Firm Buying 33% Stake in SIX Semicondutores". The Wall Street Journal .
  345. SUBKARMA. "Who We Are-OPTOTECH". www.opto.com.tw.
  346. 1 2 3 "Creative Sensor Inc. – Worldwide Sites". www.csi-sensor.com.tw. Archived from the original on 2017-09-25. Retrieved 2017-09-25.
  347. "Factory & Headquarters, Nanchang Creative Sensor Technology". www.jjpan.com. Archived from the original on 2017-09-25. Retrieved 2017-09-25.
  348. "Headquarters Phase I, VisEra Technologies Co., Ltd". www.jjpan.com. Archived from the original on 2017-09-25. Retrieved 2017-09-25.
  349. "Our Mission | Nanosystem Fabrication Facility, HKUST". www.nff.ust.hk. Retrieved 2018-01-26.
  350. "home-GTA". www.gtasemi.com.cn.
  351. "ASMC (Advanced Semiconductor Manufacturing Corp. Ltd.)".
  352. "Fab Information-GTA".
  353. "Specialty Technology-GTA".
  354. "GTA Semiconductor Opens New USD5.1 Billion Wafer Plant".
  355. "上海贝岭股份有限公司". www.belling.com.cn.
  356. "Company Profile -深爱半导体股份有限公司". Sisemi.com.cn. Archived from the original on 2018-07-20. Retrieved 2018-07-20.
  357. 1 2 "Company History -深爱半导体股份有限公司". Sisemi.com.cn. Archived from the original on 18 July 2018. Retrieved 17 July 2018.
  358. "Welcome to CR Micro". www.crmicro.com. Retrieved 2022-11-25.
  359. 1 2 3 "CSMC-About". Csmc.com.cn. Archived from the original on 2018-07-20. Retrieved 2018-07-20.
  360. 1 2 3 4 万户网络. "合肥晶合集成电路有限公司". Nexchip.com.cn (in Chinese (China)). Archived from the original on 2018-02-13. Retrieved 2018-02-12.
  361. 万户网络. "合肥晶合集成电路有限公司". Nexchip.com.cn (in Chinese (China)). Archived from the original on 2018-07-02. Retrieved 2018-07-03.
  362. "Group Profile – About Us – About San'an – San'an Optoelectronics Co., Ltd". Archived from the original on 2017-11-08. Retrieved 2017-11-05.
  363. "三安集成". www.sanan-ic.com.
  364. "Mega Fab-Sanan IC".
  365. "Hua Hong Semiconductor Limited Reports 2022 Fourth Quarter Results" (PDF) (Press release). Hua Hong Semiconductor. February 14, 2023.
  366. 1 2 3 "Hua Hong Semiconductor Limited Reports 2021 Fourth Quarter Results" (PDF) (Press release). Hua Hong Semiconductor. January 28, 2022.
  367. "上海华力". www.hlmc.cn.
  368. "厂容厂貌 – 湘能华磊光电股份有限公司". www.ledcz.com. Archived from the original on 2018-02-08. Retrieved 2018-02-08.
  369. "About Us – CanSemi Official Website". www.cansemitech.com.
  370. "CanSemi completes financing of chip project phase II-Asian Metal". www.asianmetal.com.
  371. Allen, Jesse (July 6, 2022). "Startup Funding: June 2022". Semiconductor Engineering.
  372. "CanSemi starts capacity expansion for analog chips at 12-inch fab". DIGITIMES. September 2022.
  373. "Pyongyang University and NK: Just Do IT!". 1 November 2010.
  374. 1 2 "Kodenshi". www.kodenshiauk.com. Archived from the original on 2017-09-25. Retrieved 2017-09-25.
  375. 1 2 3 "Worldwide Locations – ABLIC Inc. (formerly SII Semiconductor Corp.)".
  376. 1 2 "About Epson Semiconductor Network". global.epson.com.
  377. "Locations in Japan : Worldwide Office Locations : OLYMPUS". Olympus-global.com.
  378. "Olympus". Semiconductor Technology. Retrieved 2018-07-20.
  379. "Olympus Corp. Places Order with Ultratech for NanoTech 160 Lithography System For Japan's First MEMS Foundry (NASDAQ:UTEK)". ir.ultratech.com. Archived from the original on 2018-01-26. Retrieved 2018-01-25.
  380. 1 2 "Overseas | Network". Shindengen Electric Manufacturing Co., Ltd.
  381. "Operation Sites – Company Profile – New Japan Radio(New JRC)". Njr.com. Archived from the original on 2017-09-18. Retrieved 2017-09-18.
  382. "Kawagoe Works Google Map – Operation Sites – Company Profile – New Japan Radio(New JRC)". Njr.com. Archived from the original on 2017-09-18. Retrieved 2017-09-18.
  383. 1 2 "Foundry Service – Products – New Japan Radio(New JRC)". Njr.com.
  384. 1 2 "New JRC Group Companies – Company Profile – New Japan Radio(New JRC)". Njr.com. Archived from the original on 2017-09-18. Retrieved 2017-09-18.
  385. "SAW Foundry – Products – New Japan Radio(New JRC)". Njr.com.
  386. "株式会社エヌ・ジェイ・アール福岡 – 会社概要". Njrf.co.jp. Archived from the original on 2016-11-20. Retrieved 2017-09-18.
  387. 1 2 "Nisshinbo Group Companies – Company Profile – New Japan Radio(New JRC)". Njr.com. Archived from the original on 2017-09-18. Retrieved 2017-09-18.
  388. 1 2 "Plants and Sales Office Locations/NICHIA CORPORATION". www.nichia.co.jp.
  389. "Locations – Elmos Semiconductor AG". www.elmos.com.
  390. 1 2 "Welcome to UMS – MMICs Solutions for III-V Products, Support & Foundry Services". www.ums-gaas.com. Archived from the original on 2018-02-13. Retrieved 2018-02-12.
  391. 1 2 "Foundry – Ion Beam Services". Ion Beam Services (in French). Retrieved 2018-01-25.
  392. "Manufacture Facilities WITH Static with lab pic HEADER".
  393. "Contact | CST Global". Contact | CST Global. Retrieved 2019-05-26.
  394. "Vsp-mikron". Vsp-mikron. Retrieved 2017-03-22.
  395. 1 2 "Angstrem". Angstrem. Retrieved 2023-01-05.
  396. David Manners, ST, Mikron to finish 90nm jv fab this year // Electronics Weekly, 1 March 2011
  397. "Crocus Nano Electronics". Crocus Nano Electronics. Retrieved 2023-01-05.
  398. "Manufacturing at TowerJazz". Towerjazz.com. Archived from the original on 2012-06-14. Retrieved 2017-03-22.
  399. "TowerJazz and Tacoma Announce a Partnership for a New 8-inch Fabrication Facility in Nanjing, China". 21 August 2017. Archived from the original on 1 October 2017. Retrieved 1 October 2017.
  400. "Tower confirms Chinese fab project". 21 August 2017.
  401. Intermediate People's Court of Nanjing City, Jiangsu Province. "Announcement". ACPPRC. Archived from the original on 1 October 2020. Retrieved 1 October 2020.
  402. "China's Jinhua Set to Move into DRAM Market by Building Production Plant". 2016-07-19. Retrieved 2018-02-12.
  403. "About us, Jin Hua Integrated Circuit Co., Ltd., Jin Hua Integrated". en.jhicc.cn. Archived from the original on 8 July 2018. Retrieved 17 July 2018.
  404. "China's DRAM endeavor continues despite US sanctions". THE ELEC, Korea Electronics Industry Media. June 26, 2019.
  405. Cimpanu, Catalin. "US bans exports to Chinese DRAM maker citing national security risk". ZDNet.
  406. "Chinese foundry HSMC gearing up for 14nm, 7nm chip production". DIGITIMES. 22 November 2019.
  407. https://www.scmp.com/tech/tech-trends/article/3126124/chinas-semiconductors-how-wuhans-challenger-chinese-chip-champion
  408. "Hynix will close 200mm fab in Oregon | EE Times". EETimes. Retrieved 2017-06-20.
  409. "Instalarán fábrica de semiconductores". Panamá América. October 4, 2008.
  410. "SemiWiki.com – A Brief History of the Fabless Semiconductor Industry". www.semiwiki.com. Retrieved 2018-02-08.
  411. "Toshiba : Press Releases 8 August, 2001". www.toshiba.co.jp.
  412. "NEC to close Livingston fab". EE Times. Retrieved 2018-07-20.
  413. "Lfoundry continues based on Rousset fab". EE Times. 1999-02-22. Retrieved 2017-03-22.
  414. Peter Clarke (2014-01-02). "Lfoundry Rousset fab closes with loss of 600 jobs". Electronics EETimes. Archived from the original on 2016-09-23. Retrieved 2017-03-22.
  415. Peter Clarke (2014-01-02). "Lfoundry Rousset fab closes with loss of 600 jobs". Electronics EETimes. Archived from the original on 2016-09-23. Retrieved 2017-03-22.
  416. Manners, David (October 9, 2000). "European Industry Back in the Chips". EDN. Retrieved 21 June 2021.
  417. "Britain Finds Success In Attracting Foreign High-Tech Investment". Christian Science Monitor. 1995-08-24. Retrieved 21 June 2021.
  418. 1 2 "Siemens Semiconductor". Vintage Computer Chip Collectibles, Memorabilia & Jewelry.
  419. "Atmel closes Tyneside factory". The Engineer. October 9, 2007. Archived from the original on June 24, 2021. Retrieved June 21, 2021.
  420. "QTS Plans Huge Virginia Data Center". 5 April 2010.
  421. "STMicro to close 150mm fab in California, studies other actions". 19 October 2001.
  422. "NXP Semiconductors | Automotive, Security, IoT". Freescale. Retrieved 2017-03-22.
  423. "Freescale closes French fab". EE Times. Retrieved 2017-03-22.
  424. "NXP Semiconductors | Automotive, Security, IoT". Freescale. Retrieved 2017-03-22.
  425. "Agere to lay off 4,000 workers, close fab in Spain in massive restructuring of units". EE Times. 2001-06-29. Retrieved 2019-06-18.
  426. "La fábrica de Lucent de Tres Cantos dejará de producir a finales de año" [Lucent's Fab in Tres Cantos will cease production at the end of this year]. El Mundo (in Spanish). 2001-06-29. Retrieved 2019-06-18.
  427. "AT&T y Tres Cantos" [AT&T and Tres Cantos]. El País (in Spanish). 1997-12-17. Retrieved 2019-06-18.
  428. OSRTI, US EPA. "Search Superfund Site Information". cumulis.epa.gov.
  429. 1 2 3 4 "North American Company Profiles" (PDF). Profiles 1996 - A Worldwide Survey of IC Manufacturers and Suppliers. Integrated Circuit Engineering Corporation. Archived from the original (PDF) on 10 February 2023.
  430. "Rochester, USA".
  431. Harry, Stevens. "Fairchild Semiconductor to close Utah facility amid job cuts". The Salt Lake Tribune. Retrieved October 17, 2016.
  432. "Texas Instruments News Center – News Releases". Newscenter.ti.com. Archived from the original on 2015-09-06. Retrieved 2017-03-22.
  433. "MEMS wafer fab contents for sale: $5 million ONO". 25 January 2017.
  434. "Diodes to acquire FabTech, 5-inch wafer fab in Missouri". EETimes. October 30, 2000.
  435. "Diodes To Cease Operations At Lee's Summit Wafer Fab In Q3 – Quick Facts". Markets Insider. 9 February 2024.
  436. "Qorvo Foundry Services – Qorvo". www.qorvo.com.
  437. Mozur, Paul (2017-02-10). "Plan for $10 Billion Chip Plant Shows China's Growing Pull". The New York Times. ISSN   0362-4331 . Retrieved 2018-02-12.
  438. "The story of Tondi Electronics and Donte". www.donte.ee.
  439. "RCA Solid State Division records" (PDF).
  440. "Intersil closing Ohio plant to phase out automotive, industrial ICs". 29 March 2001.

Samsung capacity