Lift-off (microtechnology)

Last updated

The lift-off process in microstructuring technology is a method of creating structures (patterning) of a target material on the surface of a substrate (e.g. wafer) using a sacrificial material (e.g. photoresist). It is an additive technique as opposed to more traditional subtracting technique like etching. The scale of the structures can vary from the nanoscale up to the centimeter scale or further, but are typically of micrometric dimensions.

Contents

Process

Lift-off process steps: I. Preparation of the substrate
II. Deposition of the sacrificial stencil layer
III. Patterning the sacrificial layer (ex. etching), creating an inverse pattern
IV. Deposition of the target material
V. Washing out the sacrificial layer together with the target material on its surface
VI. Final pattern Layers:
1) Substrate
3) Target material Lift-off (microtechnology) process.svg
Lift-off process steps: I. Preparation of the substrate
II. Deposition of the sacrificial stencil layer
III. Patterning the sacrificial layer (ex. etching), creating an inverse pattern
IV. Deposition of the target material
V. Washing out the sacrificial layer together with the target material on its surface
VI. Final pattern Layers:
1) Substrate
3) Target material

An inverse pattern is first created in the sacrificial stencil layer (ex. photoresist), deposited on the surface of the substrate. This is done by etching openings through the layer so that the target material can reach the surface of the substrate in those regions, where the final pattern is to be created. The target material is deposited over the whole area of the wafer, reaching the surface of the substrate in the etched regions and staying on the top of the sacrificial layer in the regions, where it was not previously etched. When the sacrificial layer is washed away (photoresist in a solvent), the material on the top is lifted-off and washed together with the sacrificial layer below. After the lift-off, the target material remains only in the regions where it had a direct contact with the substrate.

Advantages

Lift-off is applied in cases where a direct etching of structural material would have undesirable effects on the layer below. Lift-off is a cheap alternative to etching in a research context, which permits a slower turn-around time. Finally, lifting off a material is an option if there is no access to an etching tool with the appropriate gases.

Disadvantages

There are 3 major problems with lift-off:

Retention
This is the worst problem for liftoff processes. If this problem occurs, unwanted parts of the metal layer will remain on the wafer. This can be caused by different situations. The resist below the parts that should have been lifted off could not have dissolved properly. Also, it is possible that the metal has adhered so well to the parts that should remain that it prevents lift-off.
Ears
When the metal is deposited, and it covers the sidewalls of the resist, "ears" can be formed. These are made of the metal along the sidewall which will be standing upwards from the surface. Also, it is possible that these ears will fall over on the surface, causing an unwanted shape on the substrate.

If the ears remain on the surface, the risk remains that these ears will go through different layers put on top of the wafer and they might cause unwanted connections.

Redeposition
During the liftoff process it is possible that particles of metal will become reattached to the surface, at a random location. It is very difficult to remove these particles after the wafer has dried.

Use

Lift-off process is used mostly to create metallic interconnections.

There are several types of lift-off processes, and what can be achieved depends highly on the actual process being used. Very fine structures have been used using EBL, for instance. The lift-off process can also involve multiple layers of different types of resist. This can for instance be used to create shapes that will prevent side walls of the resist being covered in the metal deposition stage.

Related Research Articles

<span class="mw-page-title-main">MEMS</span> Very small devices that incorporate moving components

MEMS is the technology of microscopic devices incorporating both electronic and moving parts. MEMS are made up of components between 1 and 100 micrometres in size, and MEMS devices generally range in size from 20 micrometres to a millimetre, although components arranged in arrays can be more than 1000 mm2. They usually consist of a central unit that processes data and several components that interact with the surroundings.

Photolithography is a process used in the manufacturing of integrated circuits. It involves using light to transfer a pattern onto a substrate, typically a silicon wafer.

<span class="mw-page-title-main">Photoresist</span> Light-sensitive material used in making electronics

A photoresist is a light-sensitive material used in several processes, such as photolithography and photoengraving, to form a patterned coating on a surface. This process is crucial in the electronics industry.

<span class="mw-page-title-main">Semiconductor device fabrication</span> Manufacturing process used to create integrated circuits

Semiconductor device fabrication is the process used to manufacture semiconductor devices, typically integrated circuits (ICs) such as computer processors, microcontrollers, and memory chips that are present in everyday electronic devices. It is a multiple-step photolithographic and physio-chemical process during which electronic circuits are gradually created on a wafer, typically made of pure single-crystal semiconducting material. Silicon is almost always used, but various compound semiconductors are used for specialized applications.

Dry etching refers to the removal of material, typically a masked pattern of semiconductor material, by exposing the material to a bombardment of ions that dislodge portions of the material from the exposed surface. A common type of dry etching is reactive-ion etching. Unlike with many of the wet chemical etchants used in wet etching, the dry etching process typically etches directionally or anisotropically.

Surface micromachining builds microstructures by deposition and etching structural layers over a substrate. This is different from Bulk micromachining, in which a silicon substrate wafer is selectively etched to produce structures.

Chemical mechanical polishing (CMP) is a process of smoothing surfaces with the combination of chemical and mechanical forces. It can be thought of as a hybrid of chemical etching and free abrasive polishing. It is used in the semiconductor industry to polish semiconductor wafers as part of the integrated circuit manufacturing process.

Nanolithography (NL) is a growing field of techniques within nanotechnology dealing with the engineering of nanometer-scale structures on various materials.

In semiconductor fabrication, a resist is a thin layer used to transfer a circuit pattern to the semiconductor substrate which it is deposited upon. A resist can be patterned via lithography to form a (sub)micrometer-scale, temporary mask that protects selected areas of the underlying substrate during subsequent processing steps. The material used to prepare said thin layer is typically a viscous solution. Resists are generally proprietary mixtures of a polymer or its precursor and other small molecules that have been specially formulated for a given lithography technology. Resists used during photolithography are called photoresists.

Deep reactive-ion etching (DRIE) is a highly anisotropic etch process used to create deep penetration, steep-sided holes and trenches in wafers/substrates, typically with high aspect ratios. It was developed for microelectromechanical systems (MEMS), which require these features, but is also used to excavate trenches for high-density capacitors for DRAM and more recently for creating through silicon vias (TSVs) in advanced 3D wafer level packaging technology. In DRIE, the substrate is placed inside a reactor, and several gases are introduced. A plasma is struck in the gas mixture which breaks the gas molecules into ions. The ions accelerated towards, and react with the surface of the material being etched, forming another gaseous element. This is known as the chemical part of the reactive ion etching. There is also a physical part, if ions have enough energy, they can knock atoms out of the material to be etched without chemical reaction.

<span class="mw-page-title-main">Nanoimprint lithography</span> Method of fabricating nanometer scale patterns using a special stamp

Nanoimprint lithography (NIL) is a method of fabricating nanometer-scale patterns. It is a simple nanolithography process with low cost, high throughput and high resolution. It creates patterns by mechanical deformation of imprint resist and subsequent processes. The imprint resist is typically a monomer or polymer formulation that is cured by heat or UV light during the imprinting. Adhesion between the resist and the template is controlled to allow proper release.

Resolution enhancement technologies are methods used to modify the photomasks in the lithographic processes used to make integrated circuits to compensate for limitations in the optical resolution of the projection systems. These processes allow the creation of features well beyond the limit that would normally apply due to the Rayleigh criterion. Modern technologies allow the creation of features on the order of 5 nanometers (nm), far below the normal resolution possible using deep ultraviolet (DUV) light.

<span class="mw-page-title-main">LIGA</span> Fabrication technology used to create high-aspect-ratio microstructures

LIGA is a fabrication technology used to create high-aspect-ratio microstructures. The term is a German acronym for Lithographie, Galvanoformung, Abformung – lithography, electroplating, and molding.

<span class="mw-page-title-main">Lam Research</span> American semiconductor equipment company

Lam Research Corporation is an American supplier of wafer-fabrication equipment and related services to the semiconductor industry. Its products are used primarily in front-end wafer processing, which involves the steps that create the active components of semiconductor devices and their wiring (interconnects). The company also builds equipment for back-end wafer-level packaging (WLP) and for related manufacturing markets such as for microelectromechanical systems (MEMS).

<span class="mw-page-title-main">Microcontact printing</span>

Microcontact printing is a form of soft lithography that uses the relief patterns on a master polydimethylsiloxane (PDMS) stamp or Urethane rubber micro stamp to form patterns of self-assembled monolayers (SAMs) of ink on the surface of a substrate through conformal contact as in the case of nanotransfer printing (nTP). Its applications are wide-ranging including microelectronics, surface chemistry and cell biology.

<span class="mw-page-title-main">Etching (microfabrication)</span> Technique in microfabrication used to remove material and create structures

Etching is used in microfabrication to chemically remove layers from the surface of a wafer during manufacturing. Etching is a critically important process module in fabrication, and every wafer undergoes many etching steps before it is complete.

Adhesive bonding describes a wafer bonding technique with applying an intermediate layer to connect substrates of different types of materials. Those connections produced can be soluble or insoluble. The commercially available adhesive can be organic or inorganic and is deposited on one or both substrate surfaces. Adhesives, especially the well-established SU-8, and benzocyclobutene (BCB), are specialized for MEMS or electronic component production.

<span class="mw-page-title-main">Chemistry of photolithography</span> Overview article

Photolithography is a process in removing select portions of thin films used in microfabrication. Microfabrication is the production of parts on the micro- and nano- scale, typically on the surface of silicon wafers, for the production of integrated circuits, microelectromechanical systems (MEMS), solar cells, and other devices. Photolithography makes this process possible through the combined use of hexamethyldisilazane (HMDS), photoresist, spin coating, photomask, an exposure system and other various chemicals. By carefully manipulating these factors it is possible to create nearly any geometry microstructure on the surface of a silicon wafer. The chemical interaction between all the different components and the surface of the silicon wafer makes photolithography an interesting chemistry problem. Current engineering has been able to create features on the surface of silicon wafers between 1 and 100 μm.

<span class="mw-page-title-main">Metal assisted chemical etching</span>

Metal Assisted Chemical Etching is the process of wet chemical etching of semiconductors with the use of a metal catalyst, usually deposited on the surface of a semiconductor in the form of a thin film or nanoparticles. The semiconductor, covered with the metal is then immersed in an etching solution containing and oxidizing agent and hydrofluoric acid. The metal on the surface catalyzes the reduction of the oxidizing agent and therefore in turn also the dissolution of silicon. In the majority of the conducted research this phenomenon of increased dissolution rate is also spatially confined, such that it is increased in close proximity to a metal particle at the surface. Eventually this leads to the formation of straight pores that are etched into the semiconductor. This means that a pre-defined pattern of the metal on the surface can be directly transferred to a semiconductor substrate.

Glossary of microelectronics manufacturing terms