Oramir

Last updated

Oramir Semiconductor Equipment Ltd. is an Israeli company that develops advanced laser cleaning technologies for semiconductor wafers, used during their manufacturing process. Oramir is located in Rehovot, Israel.

Contents

History

Oramir was founded in 1992 by Fairchild Corporation, Teuza Venture Capital Fund and Rafael Development Corporation of Israel. [1] [2] Oramir was named after Amir Sinai who was killed in service as an IDF special unit NCO in July 1984, during the war in Lebanon. Dan Sinai, Amir's father, was one of Oramir's founders. [3]

Oramir’s notability derives from developing the advanced technology for cleaning silicon wafers in a one step dry process. [4] Particles and other contaminants can be removed from a silicon substrate by a patented laser based technology. Applied Materials Inc. (NASDAQ: AMAT), a semiconductor equipment manufacturer, acquired Oramir for $21 million on June 27, 2001. [5]

See also

Related Research Articles

Photolithography is a process used in the manufacturing of integrated circuits. It involves using light to transfer a pattern onto a substrate, typically a silicon wafer.

<span class="mw-page-title-main">Semiconductor device fabrication</span> Manufacturing process used to create integrated circuits

Semiconductor device fabrication is the process used to manufacture semiconductor devices, typically integrated circuits (ICs) such as computer processors, microcontrollers, and memory chips that are present in everyday electronic devices. It is a multiple-step photolithographic and physio-chemical process during which electronic circuits are gradually created on a wafer, typically made of pure single-crystal semiconducting material. Silicon is almost always used, but various compound semiconductors are used for specialized applications.

<span class="mw-page-title-main">Wafer (electronics)</span> Thin slice of semiconductor used for the fabrication of integrated circuits

In electronics, a wafer is a thin slice of semiconductor, such as a crystalline silicon (c-Si), used for the fabrication of integrated circuits and, in photovoltaics, to manufacture solar cells.

<span class="mw-page-title-main">Photonics</span> Technical applications of optics

Photonics is a branch of optics that involves the application of generation, detection, and manipulation of light in form of photons through emission, transmission, modulation, signal processing, switching, amplification, and sensing. Photonics is closely related to quantum electronics, where quantum electronics deals with the theoretical part of it while photonics deal with its engineering applications. Though covering all light's technical applications over the whole spectrum, most photonic applications are in the range of visible and near-infrared light. The term photonics developed as an outgrowth of the first practical semiconductor light emitters invented in the early 1960s and optical fibers developed in the 1970s.

Applied Materials, Inc. is an American corporation that supplies equipment, services and software for the manufacture of semiconductor chips for electronics, flat panel displays for computers, smartphones, televisions, and solar products. The company also supplies equipment to produce coatings for flexible electronics, packaging and other applications. The company is headquartered in Santa Clara, California, and is the largest supplier of semiconductor equipment in the world based on revenue.

Rafael Advanced Defense Systems Ltd., is an Israeli defense technology company. It was founded as Israel's National R&D Defense Laboratory for the development of weapons and military technology within the Israeli Ministry of Defense; in 2002 it was incorporated as a limited company.

In semiconductor manufacturing, silicon on insulator (SOI) technology is fabrication of silicon semiconductor devices in a layered silicon–insulator–silicon substrate, to reduce parasitic capacitance within the device, thereby improving performance. SOI-based devices differ from conventional silicon-built devices in that the silicon junction is above an electrical insulator, typically silicon dioxide or sapphire. The choice of insulator depends largely on intended application, with sapphire being used for high-performance radio frequency (RF) and radiation-sensitive applications, and silicon dioxide for diminished short-channel effects in other microelectronics devices. The insulating layer and topmost silicon layer also vary widely with application.

Wafer fabrication is a procedure composed of many repeated sequential processes to produce complete electrical or photonic circuits on semiconductor wafers in semiconductor device fabrication process. Examples include production of radio frequency (RF) amplifiers, LEDs, optical computer components, and microprocessors for computers. Wafer fabrication is used to build components with the necessary electrical structures.

<span class="mw-page-title-main">Stepper</span> Photolithographic Tool

A stepper is a device used in the manufacture of integrated circuits (ICs). It is an essential part of the process of photolithography, which creates millions of microscopic circuit elements on the surface of silicon wafers out of which chips are made. It is similar in operation to a slide projector or a photographic enlarger. The ICs that are made form the heart of computer processors, memory chips, and many other electronic devices.

KLA Corporation is an American capital equipment company based in Milpitas, California. It supplies process control and yield management systems for the semiconductor industry and other related nanoelectronics industries. The company's products and services are intended for all phases of wafer, reticle, integrated circuit (IC) and packaging production, from research and development to final volume manufacturing.

<span class="mw-page-title-main">Microfabrication</span> Fabrication at micrometre scales and smaller

Microfabrication is the process of fabricating miniature structures of micrometre scales and smaller. Historically, the earliest microfabrication processes were used for integrated circuit fabrication, also known as "semiconductor manufacturing" or "semiconductor device fabrication". In the last two decades microelectromechanical systems (MEMS), microsystems, micromachines and their subfields, microfluidics/lab-on-a-chip, optical MEMS, RF MEMS, PowerMEMS, BioMEMS and their extension into nanoscale have re-used, adapted or extended microfabrication methods. Flat-panel displays and solar cells are also using similar techniques.

<span class="mw-page-title-main">Lam Research</span> American semiconductor equipment company

Lam Research Corporation is an American supplier of wafer-fabrication equipment and related services to the semiconductor industry. Its products are used primarily in front-end wafer processing, which involves the steps that create the active components of semiconductor devices and their wiring (interconnects). The company also builds equipment for back-end wafer-level packaging (WLP) and for related manufacturing markets such as for microelectromechanical systems (MEMS).

Die singulation, also called wafer dicing, is the process in semiconductor device fabrication by which dies are separated from a finished wafer of semiconductor. Die singulation comes after the photolithography process. It can involve scribing and breaking, mechanical sawing or laser cutting. All methods are typically automated to ensure precision and accuracy. Following the dicing process the individual silicon chips may be encapsulated into chip carriers which are then suitable for use in building electronic devices such as computers, etc.

Entegris, Inc. is a leading supplier of advanced materials and process solutions for the semiconductor and other high-tech industries. Entegris has approximately 9,000 employees throughout its global operations and is ISO 9001 certified. It has manufacturing, customer service and/or research facilities in the United States, Canada, China, Germany, Israel, Japan, Malaysia, Singapore, South Korea, and Taiwan. The company’s corporate headquarters are in Billerica, Massachusetts.

ASM is a Dutch headquartered multinational corporation that specializes in the design, manufacturing, sales and service of semiconductor wafer processing equipment for the fabrication of semiconductor devices. ASM's products are used by semiconductor manufacturers in front-end wafer processing in their semiconductor fabrication plants. ASM's technologies include atomic layer deposition, epitaxy, chemical vapor deposition and diffusion.

<span class="mw-page-title-main">Veeco</span> American manufacturing company

Veeco is a global capital equipment supplier, headquartered in the U.S., that designs and builds processing systems used in semiconductor and compound semiconductor manufacturing, data storage and scientific markets for applications such as advanced packaging, photonics, power electronics and display technologies.

<span class="mw-page-title-main">SÜSS MicroTec</span>

Suss Microtec is a supplier of equipment and process solutions for the semiconductor, nano and microsystems technology and related markets with headquarters in Garching near Munich.

<span class="mw-page-title-main">Tokyo Electron</span> Japanese semiconductor equipment manufacturer

Tokyo Electron Limited, or TEL, is a Japanese electronics and semiconductor company headquartered in Akasaka, Minato-ku, Tokyo, Japan. The company was founded as Tokyo Electron Laboratories, Inc. in 1963.

<span class="mw-page-title-main">SVTC Technologies</span>

SVTC Technologies was a technology services company that provided development and commercialization services for semiconductor process-based technologies and products. SVTC operated from 2004 to October 2012.

<span class="mw-page-title-main">Tower Semiconductor</span> Integrated circuit manufacturer

Tower Semiconductor Ltd. is an Israeli company that manufactures integrated circuits using specialty process technologies, including SiGe, BiCMOS, Silicon Photonics, SOI, mixed-signal and RFCMOS, CMOS image sensors, non-imaging sensors, power management (BCD), and non-volatile memory (NVM) as well as MEMS capabilities. Tower Semiconductor also owns 51% of TPSCo, an enterprise with Nuvoton Technology Corporation Japan (NTCJ).

References

  1. Yozma portfolio - Oramir
  2. Fairchild launches Oramir Israel Business Today
  3. Tavor, Alon (2001-05-30). "Applied Materials buys Oramir Semiconductor for $27m". TheMarker .
  4. Yogev, David; Engel, Michael Y.; Zeid, Shaike; Barzilay, Izhack; Livshits, Boris (2000), Helvajian, Henry; Sugioka, Koji; Gower, Malcolm C; Dubowski, Jan J (eds.), "Laser chemical process for clean applications of semiconductor manufacturing", Proc. SPIE, Laser Applications in Microelectronic and Optoelectronic Manufacturing V, 3933: 77–88, Bibcode:2000SPIE.3933...77Y, doi:10.1117/12.387543, S2CID   137657317
  5. Applied Materials Inc · SEC Filing 10-Q · For 7/29/01