2015 LEB Oro playoffs

Last updated

The 2015 LEB Oro playoffs was the final stage of the 2014–15 LEB Oro season. They started on 28 April 2015, and ended on June 2.

The 2014–15 LEB Oro season is the 19th season of the Liga Española de Baloncesto, the Spanish basketball second division. It is named Adecco Oro as its sponsored identity. The season will start in October 3 and will end in May 15, 17 or 19 with the last game of the promotion playoffs finals.

Contents

The quarterfinals were played in a best-of-3 games format, while the semifinals and the finals in a best-of-5 games format. The best seeded team played at home the games 1, 2 and 5 if necessary. The winner of the finals had the option to promote to the 2015–16 ACB season with Ford Burgos, the champion of the regular season. Finally, Club Ourense Baloncesto won the playoffs but, as Ford Burgos, was not admitted in the Liga ACB.

The 2015–16 ACB season was the 33rd season of the Spanish basketball league, also called Liga Endesa in its sponsored identity. The regular season started on October 10, 2015, and ended on May 22, 2016. The playoffs was played from May 26 to June 26, 2016.

CB Tizona

Club Baloncesto Tizona S.A.D., more commonly known as UBU Tizona by sponsorship reasons, is a professional basketball team based in Burgos, Castilla y León and plays in the Polideportivo El Plantío. The team currently plays in Liga EBA.

Club Ourense Baloncesto

Club Ourense Baloncesto, S.A.D., more commonly referred to today by its sponsorship name of Río Ourense Termal, is a professional basketball team based in Ourense, Spain. The team currently plays in league LEB Oro.

Bracket

 QuarterfinalsSemifinalsFinals
                        
  2 Club Ourense Baloncesto 8681  
9 Club Melilla Baloncesto 84 77  
  2 Club Ourense Baloncesto 758377   
  6 Actel Força Lleida 61 81 59   
5 Palma Air Europa 52 74 
  6 Actel Força Lleida 7389  
   2 Club Ourense Baloncesto 83 68 737391
  3 Ribeira Sacra Breogán Lugo 527781 69 65
  3 Ribeira Sacra Breogán Lugo 91 6688 
8 Quesos Cerrato Palencia 7581 86 
  3 Ribeira Sacra Breogán Lugo 80 738586
  4 MyWigo Valladolid 6576 77 76  
4 MyWigo Valladolid 6874 
  7 Planasa Navarra 63 73  

Quarterfinals

Club Ourense Baloncesto v Club Melilla Baloncesto

24 April 2015
21:00
Club Ourense Baloncesto 8684 Club Melilla Baloncesto
Scoring by quarter: 24–24, 29–17, 21–24, 12–19
Pts: Arco 19
Rebs: Rivero 6
Asts: Rivero 3
PIR: Arco, Rivero 22
Pts: Tomàs 14
Rebs: Wright 9
Asts:three players 2
PIR: Tomàs 17
Pazo Paco Paz, Ourense
Attendance: 4,000 [1]
Referees: Vázquez García, Pinela García
28 April 2015
21:00
Club Melilla Baloncesto 7781 Club Ourense Baloncesto
Scoring by quarter: 17–19, 16–16, 19–28, 25–18
Pts: Butterfield 24
Rebs: Gatell, Tomàs 7
Asts: Gatell, E. Sánchez 3
PIR: Butterfield 28
Pts: Bušma 23
Rebs: Bušma 10
Asts: Rivero 6
PIR: Bušma 26
Club Ourense Baloncesto wins the series, 2–0
Pabellón Javier Imbroda Ortiz, Melilla
Attendance: 1,500 [1]
Referees: Zamora Rodríguez, Pagán Baró

Ribeira Sacra Breogán Lugo v Quesos Cerrato Palencia

24 April 2015
21:00
Ribeira Sacra Breogán Lugo 9175 Quesos Cerrato Palencia
Scoring by quarter: 23–24, 15–15, 26–18, 27–18
Pts: Llorca 18
Rebs: McGhee 13
Asts: S. Sánchez 5
PIR: Llorca 18
Pts: D. Rodríguez 18
Rebs: Arteaga 16
Asts: Otegui 4
PIR: Arteaga 29
Pazo dos Deportes, Lugo
Attendance: 4,000 [1]
Referees: De Lucas de Lucas, Bravo Loroño
28 April 2015
21:00
Quesos Cerrato Palencia 8166 Ribeira Sacra Breogán Lugo
Scoring by quarter: 16–18, 25–16, 23–15, 17–17
Pts:three players 13
Rebs: Arteaga 8
Asts: Otegui, D. Rodríguez 3
PIR: Arteaga 20
Pts: Llorca 13
Rebs: McGhee, Samb 5
Asts: D. López 3
PIR: D. López 15
Pabellón Marta Domínguez, Palencia
Attendance: 3,000 [1]
Referees: Uruñuela Uruñuela, Mendoza Holgado
1 May 2015
19:15
Ribeira Sacra Breogán Lugo 8886 (OT) Quesos Cerrato Palencia
Scoring by quarter:17–15, 17–21, 20–23, 25–20, Overtime:9–7
Pts: Samb 26
Rebs: Matulionis 9
Asts: D. López 6
PIR: Samb 24
Pts: McDowell 21
Rebs: Arteaga 10
Asts: Otegui, D. Rodríguez 5
PIR: Otegui 26
Ribeira Sacra Breogán Lugo wins series, 2–1
Pazo dos Deportes, Lugo
Attendance: 5,100 [1]
Referees: Pagán Baró, Terreros San Miguel

MyWigo Valladolid v Planasa Navarra

24 April 2015
21:00
MyWigo Valladolid 6863 Planasa Navarra
Scoring by quarter: 15–16, 21–19, 13–9, 19–19
Pts: Uriz 19
Rebs: De la Fuente, Uclés 9
Asts: Uriz 4
PIR: Uriz 23
Pts: Servera 16
Rebs: Moncasi 10
Asts: Servera 8
PIR: Servera 18
Pabellón Polideportivo Pisuerga, Valladolid
Attendance: 1,500 [1]
Referees: Terreros San Miguel, Quintas Álvarez
28 April 2015
20:30
Planasa Navarra 7374 MyWigo Valladolid
Scoring by quarter:26–24, 18–20, 8–12, 21–18
Pts: P. Almazán 15
Rebs: P. Almazán, I. García 8
Asts: Servera 5
PIR: P. Almazán 23
Pts: Pino 18
Rebs: Pino 5
Asts: Uriz 4
PIR: Pino 17
MyWigo Valladolid wins the series, 2–0
Polideportivo Anaitasuna, Pamplona
Attendance: 1,600 [1]
Referees: García León, Zafra Guerra

Palma Air Europa v Actel Força Lleida

26 April 2015
19:00
Palma Air Europa 5273 Actel Força Lleida
Scoring by quarter: 8–20, 17–16, 15–14, 12–23
Pts: Vicens 14
Rebs: Vicens 6
Asts: Bertone 2
PIR: Vicens 14
Pts: Múgica 23
Rebs: Múgica 17
Asts: Fakuade 5
PIR: Múgica 41
Son Moix, Palma
Attendance: 3,200 [1]
Referees: Muñoz García, Torres Sánchez
29 April 2015
20:30
Actel Força Lleida 8974 Palma Air Europa
Scoring by quarter:27–10, 24–20, 21–28, 17–16
Pts: Kaufmanis 24
Rebs: Múgica 9
Asts: Simeón 4
PIR: Simeón 22
Pts: Bertone 19
Rebs:three players 5
Asts: Glover, Llorente 2
PIR: Di Bartolomeo, Llorente 14
Actel Força Lleida wins the series, 2–0
Pavelló Barris Nord, Lleida
Attendance: 3,600 [1]
Referees: Carpallo Miguélez, Zamorano Sánchez

Semifinals

Club Ourense Baloncesto v Actel Força Lleida

8 May 2015
21:00
Club Ourense Baloncesto 7561 Actel Força Lleida
Scoring by quarter:12–11, 18–9, 16–22, 29–19
Pts: Rejón 12
Rebs: Brothers, Geramipoor 6
Asts: Suka-Umu 5
PIR: Rejón, Suka-Umu 16
Pts: Fakuade, Kaufmanis 13
Rebs: Fakaude 8
Asts: Kaufmanis 2
PIR: Fakuade 19
Pazo Paco Paz, Ourense
Referees: Terreros San Miguel, Quintas Álvarez
10 May 2015
19:00
Club Ourense Baloncesto 8381 (OT) Actel Força Lleida
Scoring by quarter:16–15, 16–23, 24–20, 18–16, Overtime:9–7
Pts: Arco 16
Rebs:three players 7
Asts: Suka-Umu 6
PIR: Arco 21
Pts: Kahlig 20
Rebs: Simeón 8
Asts: Simeón 3
PIR: three players 12
Pazo Paco Paz, Ourense
Referees: García León, Carpallo Miguélez
15 May 2015
21:00
Actel Força Lleida 5977 Club Ourense Baloncesto
Scoring by quarter: 16–17, 15–21, 12–19, 16–20
Pts: Kahlig 11
Rebs: Múgica 9
Asts: Sutina 4
PIR: Simeón 16
Pts: Brothers 21
Rebs: Fieler 10
Asts: Arco 4
PIR: Brothers 21
Club Ourense Baloncesto wins series, 3–0
Pavelló Barris Nord, Lleida
Referees: Morales Ruiz, Bravo Loroño

Ribeira Sacra Breogán Lugo v Mywigo Valladolid

8 May 2015
21:00
Ribeira Sacra Breogán Lugo 8065 MyWigo Valladolid
Scoring by quarter: 15–18, 23–18, 25–20, 17–9
Pts: Mortellaro 21
Rebs: Llorca, D. López 6
Asts: Llorca 6
PIR: Llorca 29
Pts: Montañez 18
Rebs: Uclés 9
Asts: Alvarado 4
PIR: De la Fuente 16
Pazo dos Deportes, Lugo
Referees: Zafra Guerra, Zamora Rodríguez
10 May 2015
18:45
Ribeira Sacra Breogán Lugo 7376 MyWigo Valladolid
Scoring by quarter:18–14, 19–12, 22–23, 14–27
Pts: A. López 18
Rebs: Samb 7
Asts: D. López 5
PIR: A. López 15
Pts: Pino 22
Rebs: Uclés 5
Asts: Uriz 4
PIR: Pino 21
Pazo dos Deportes, Lugo
Referees: Vázquez García, Zamorano Sánchez
15 May 2015
21:00
MyWigo Valladolid 7785 Ribeira Sacra Breogán Lugo
Scoring by quarter:25–15, 18–25, 11–23, 23–22
Pts: Pino 18
Rebs: De la Fuente 6
Asts: Alvarado 9
PIR: Alvarado 17
Pts: Llorca 26
Rebs: McGhee 9
Asts: A. López, D. López 3
PIR: Llorca 27
Pabellón Polideportivo Pisuerga, Valladolid
Referees: Pagán Baró, Muñoz García
17 May 2015
19:00
MyWigo Valladolid 7686 Ribeira Sacra Breogán Lugo
Scoring by quarter: 19–20, 22–24, 11–18, 24–24
Pts: De la Fuente 16
Rebs: De la Fuente 7
Asts: Montañez, Rakočević 3
PIR: De la Fuente 20
Pts: A. López 20
Rebs: McGhee 11
Asts: Matulionis 5
PIR: A. López 18
Ribeira Sacra Breogán Lugo leads series, 3–1
Pabellón Polideportivo Pisuerga, Valladolid
Referees: Terreros San Miguel, Quintas Álvarez

Final

Club Ourense Baloncesto v Ribeira Sacra Breogán Lugo

22 May 2015
21:00
Club Ourense Baloncesto 8352 Ribeira Sacra Breogán Lugo
Scoring by quarter:19–11, 21–9, 22–17, 21–15
Pts: Fieler 16
Rebs: Fieler 10
Asts: Suka-Umu 5
PIR: Fieler, Suka-Umu 22
Pts: Matulionis 13
Rebs: Llorca, McGhee 5
Asts: D. López, Matulionis 1
PIR: Matulionis 12
Pazo Paco Paz, Ourense
Referees: Uruñuela Uruñuela, Zafra Guerra
24 May 2015
19:00
Club Ourense Baloncesto 6877 Ribeira Sacra Breogán Lugo
Scoring by quarter: 19–25, 16–16, 20–22, 13–14
Pts: Fieler 15
Rebs: Suka-Umu 5
Asts: Rejón 3
PIR: Arco 12
Pts: D. López 22
Rebs: McGhee 6
Asts: D. López 4
PIR: D. López 26
Pazo Paco Paz, Ourense
Referees: Vázquez García, Zamora Rodríguez
29 May 2015
21:00
Ribeira Sacra Breogán Lugo 8173 Club Ourense Baloncesto
Scoring by quarter:26–23, 14–12, 18–19, 23–19
Pts: D. López 21
Rebs: Samb 10
Asts: Llorca, McGhee 4
PIR: D. López 24
Pts: Arco 17
Rebs: Fieler 5
Asts: Rivero 4
PIR: Arco 19
Pazo dos Deportes, Lugo
Referees: Morales Ruiz, Carpallo Miguélez
31 May 2015
18:45
Ribeira Sacra Breogán Lugo 6973 Club Ourense Baloncesto
Scoring by quarter:18–16, 20–21, 18–17, 13–19
Pts: Matulionis, S. Sánchez 14
Rebs: McGhee 9
Asts:three players 4
PIR: Van Wijk 14
Pts:four players 11
Rebs: Rejón 12
Asts:three players 3
PIR: Rejón 22
Pazo dos Deportes, Lugo
Attendance: 7,000 [2]
Referees: Pagán Baró, Terreros San Miguel
2 June 2015
21:00
Club Ourense Baloncesto 9165 Ribeira Sacra Breogán Lugo
Scoring by quarter: 15–23, 28–5, 26–24, 22–13
Pts: Suka-Umu 24
Rebs: Busma, Rejón 5
Asts: Suka-Umu 7
PIR: Suka-Umu 36
Pts: Matulionis 14
Rebs: Llorca, A. López 5
Asts: Á. López 2
PIR: Matulionis 12
Pazo Paco Paz, Ourense
Referees: Morales Ruiz, Muñoz García

Related Research Articles

Liga ACB the first-tier-level mens professional basketball league in Spain

The Liga ACB, known as Liga Endesa for sponsorship reasons, is the top professional basketball division of the Spanish basketball league system. Administrated by the Asociación de Clubes de Baloncesto (ACB), Liga ACB is contested by 18 teams, with the two lowest-placed teams relegated to the LEB Oro and replaced by the top team in that division plus the winner of the playoffs.

San Sebastián Gipuzkoa BC basketball team

San Sebastián Gipuzkoa Basket Club, S.A.D., shortly known as Gipuzkoa Basket or as Delteco GBC for sponsorship reasons, is a professional basketball club based in San Sebastián, Spain. The team plays in the Liga ACB. Their home arena is San Sebastián Arena.

The 2010–11 LEB Oro season is the 15th season of the Liga Española de Baloncesto. It's named too Adecco Oro by sponsorship reasons. The 306-game regular season began on Friday, October 1, 2010, and will end on Friday, April 15, 2011. The champion of the regular season will be promoted to Liga ACB. The teams between 2nd and 9th position will play a best of 5 games play off, where the winner will be promoted too to the higher division. The teams 16th and 17th will play a best of 5 games play-out where the loser will be relegated to LEB Plata, with the 18th team.

Oviedo CB

Oviedo Club Baloncesto, also known as Liberbank Oviedo Baloncesto for sponsorship reasons, is a professional basketball team based in Oviedo, Asturias, that plays in the Spanish LEB Oro league.

The 2011 LEB Oro promotion Playoffs was the final phase of the 2010–2011 LEB Oro season. It will start on April 29, 2011, and it finished on June 1, 3 or 5 if necessary.

The 2011–12 LEB Plata season is the 12th season of the LEB Plata, second league of the Liga Española de Baloncesto and third division in Spain. It is also named Adecco Plata for sponsorship reasons.

The 2012 LEB Oro promotion Playoffs is the final stage of the 2011–2012 LEB Oro season. It will start on 4 May 2012, and it will finish on June 8, 10 or 12 if necessary.

The 2012–13 LEB Oro season was the 17th season of the Liga Española de Baloncesto, a Spanish basketball league. It was named Adecco Oro as its sponsored identity. The champion of the regular season would be promoted to Liga ACB. The teams between second and ninth position will play a best of five games play off, where the winner would be promoted too to the higher division.

The 2013 LEB Oro promotion Playoffs is the final stage of the 2012–13 LEB Oro season. They will start on 26 April 2013, and they will finish on May 31 or June 2 or 4.

The 2013–14 ACB season is the 31st season of the Spanish basketball league Liga ACB, also called Liga Endesa in its sponsored identity. The regular season began on October 12, 2013, and ended on May 25, 2014. The playoffs began on May 29, 2014 and ended on June 26, 2014.

The 2013–14 LEB Oro season was the 18th season of the Liga Española de Baloncesto, a Spanish basketball league. It was named Adecco Oro as its sponsored identity. The champion of the regular season, River Andorra MoraBanc was promoted to Liga ACB. The teams between second and ninth position joined the promotion play off, where the winner, Ford Burgos was promoted too to the higher division.

CB Atapuerca

Club Baloncesto Atapuerca, more commonly known as (Autocid) Ford Burgos by sponsorship reasons, was a professional basketball team based in Burgos, Castilla y León and played in the Polideportivo El Plantío, in LEB Gold league.

The 2014 LEB Oro playoffs was the final stage of the 2013–14 LEB Oro season. They started on 11 April 2013, and finished on May 18.

The 2015–16 LEB Oro season was the 20th season of the Spanish basketball second league LEB Oro. The season started on October 2 and ended on May 27 with the last game of the promotion playoffs finals.

CB Miraflores

Club Baloncesto Miraflores S.A.D., more commonly known as San Pablo Burgos by sponsorship reasons, is a professional basketball team based in Burgos, Spain and plays in the Coliseum Burgos. The team currently plays in Liga ACB.

The 2016 LEB Oro playoffs is the final stage of the 2015–16 LEB Oro season. They will start on 22 April 2016, and will finish on 31 May.

The 2016–17 LEB Oro season will be the 21st season of the Spanish basketball second league LEB Oro. Dates must be determined yet.

The 2017 LEB Oro playoffs is the final stage of the 2016–17 LEB Oro season. They will start on 5 May 2017 and will finish on 13 June if necessary.

References

  1. 1 2 3 4 5 6 7 8 9 SEMIS: Cuatro plazas históricas, a la reconquista del sueño; FEB.es, 5 May 2015 (in Spanish)
  2. "FEBtv: 154.566 espectadores para la final más vista de la historia" (in Spanish). FEB.es. 1 June 2015.