Atomic layer deposition

Last updated

Schematic illustration of one reaction cycle of the ALD process, using the trimethylaluminium (TMA) -water process to make thin aluminium oxide films as (simplified) example. There, the starting surface contains hydroxyls (OH groups) as reactive sites; Step 1 is the reaction of TMA; Step 2 is a purge or evacuation step, Step 3 is the reaction of water, and Step 4 is a purge or evacuation step. Image in Wikimedia Commons (CC BY 4.0 licence), first published in https://doi.org/10.1063/1.5060967 (Copyright Authors, CC BY 4.0 licence). Schematic illustration of atomic layer deposition.tif
Schematic illustration of one reaction cycle of the ALD process, using the trimethylaluminium (TMA) -water process to make thin aluminium oxide films as (simplified) example. There, the starting surface contains hydroxyls (OH groups) as reactive sites; Step 1 is the reaction of TMA; Step 2 is a purge or evacuation step, Step 3 is the reaction of water, and Step 4 is a purge or evacuation step. Image in Wikimedia Commons (CC BY 4.0 licence), first published in https://doi.org/10.1063/1.5060967 (Copyright Authors, CC BY 4.0 licence).

Atomic layer deposition (ALD) is a thin-film deposition technique based on the sequential use of a gas-phase chemical process; it is a subclass of chemical vapour deposition. The majority of ALD reactions use two chemicals called precursors (also called "reactants"). These precursors react with the surface of a material one at a time in a sequential, self-limiting, manner. A thin film is slowly deposited through repeated exposure to separate precursors. ALD is a key process in fabricating semiconductor devices, and part of the set of tools for synthesizing nanomaterials.

Contents

Introduction

During atomic layer deposition, a film is grown on a substrate by exposing its surface to alternate gaseous species (typically referred to as precursors or reactants). In contrast to chemical vapor deposition, the precursors are never present simultaneously in the reactor, but they are inserted as a series of sequential, non-overlapping pulses. In each of these pulses the precursor molecules react with the surface in a self-limiting way, so that the reaction terminates once all the available sites on the surface are consumed. Consequently, the maximum amount of material deposited on the surface after a single exposure to all of the precursors (a so-called ALD cycle) is determined by the nature of the precursor-surface interaction. [1] [2] By varying the number of cycles it is possible to grow materials uniformly and with high precision on arbitrarily complex and large substrates.

An overview of the materials synthesized by ALD with 1 or more publications describing the process, an up-to-date figure can be obtained online under the Creative Commons license for general use. ALD Periodic Table - May 2019.png
An overview of the materials synthesized by ALD with 1 or more publications describing the process, an up-to-date figure can be obtained online under the Creative Commons license for general use.

ALD is a deposition method with great potential for producing very thin, conformal films with control of the thickness and composition of the films possible at the atomic level. A major driving force for the recent interest is the prospective seen for ALD in scaling down microelectronic devices according to Moore's law. ALD is an active field of research, with hundreds of different processes published in the scientific literature, [1] [2] [4] though some of them exhibit behaviors that depart from that of an ideal ALD process. [4] Currently there are several comprehensive review papers that give a summary of the published ALD processes, including the work of Puurunen, [5] Miikkulainen et al., [4] Knoops et al., [6] and Mackus & Schneider et al.. [7] An interactive, community driven database of ALD processes is also available online [3] which generates an up-to-date overview in the form of an annotated periodic table.

The sister technique of atomic layer deposition, molecular layer deposition (MLD), uses organic precursors to deposit polymers. By combining the ALD/MLD techniques, it is possible to make highly conformal and pure hybrid films for many applications.

Another technology related to ALD is sequential infiltration synthesis (SIS) which uses alternating precursor vapor exposures to infiltrate and modify polymers. SIS is also referred to as vapor phase infiltration (VPI) and sequential vapor infiltration (SVI).

History

60s

In the 1960s, Stanislav Koltsov together with Valentin Aleskovsky and colleagues experimentally developed the principles of ALD at Leningrad Technological Institute (LTI) in the Soviet Union. [8] The purpose was to experimentally build upon the theoretical considerations of the "framework hypothesis" coined by Aleskovsky in his 1952 habilitation thesis. [8] The experiments started with metal chloride reactions and water with porous silica, soon extending to other substrate materials and planar thin films. [8] Aleskovskii and Koltsov together proposed the name "Molecular Layering" for the new technique in 1965. [8] The principles of Molecular Layering were summarized in the doctoral thesis ("professor's thesis") of Koltsov in 1971. [8] Research activities of molecular layering covered a broad scope, from fundamental chemistry research to applied research with porous catalysts, sorbents and fillers to microelectronics and beyond. [8] [9]

In 1974, when starting the development of thin-film electroluminescent displays (TFEL) at Instrumentarium Oy in Finland, Tuomo Suntola devised ALD as an advanced thin-film technology. [10] [11] Suntola named it atomic layer epitaxy (ALE) based on the meaning of "epitaxy" in Greek language, "arrangement upon". [10] [11] The first experiments were made with elemental Zn and S to grow ZnS. [10] [11] ALE as a means for growth of thin films was internationally patented in more than 20 countries. [10] A breakthrough occurred, when Suntola and co-workers switched from high vacuum reactors to inert gas reactors which enabled the use of compound reactants like metal chlorides, hydrogen sulfide and water vapor for performing the ALE process. [10] [12] The technology was first disclosed in 1980 SID conference. [10] The TFEL display prototype presented consisted of a ZnS layer between two aluminum oxide dielectric layers, all made in an ALE process using ZnCl2 + H2S and AlCl3 + H2O as the reactants. The first large-scale proof-of-concept of ALE-EL displays were the flight information boards installed in the Helsinki-Vantaa airport in 1983. [10] TFEL flat panel display production started in the mid-1980s by Lohja Oy in the Olarinluoma factory. [10] Academic research on ALE started in Tampere University of Technology (where Suntola gave lectures on electron physics) in 1970s, and in 1980s at Helsinki University of Technology. [10] TFEL display manufacturing remained until the 1990s the only industrial application of ALE. In 1987, Suntola started the development of the ALE technology for new applications like photovoltaic devices and heterogeneous catalysts in Microchemistry Ltd., established for that purpose by the Finnish national oil company Neste Oy. In the 1990s, ALE development in Microchemistry was directed to semiconductor applications and ALE reactors suitable for silicon wafer processing. In 1999, Microchemistry Ltd. and the ALD technology were sold to the Dutch ASM International, a major supplier of semiconductor manufacturing equipment and Microchemistry Ltd. became ASM Microchemistry Oy as ASM's Finnish daughter company. Microchemistry Ltd/ASM Microchemistry Ltd was the only manufacturer of commercial ALD-reactors in the 1990s. In the early 2000s, the expertise on ALD reactors in Finland triggered two new manufacturers, Beneq Oy and Picosun Oy, the latter started by Sven Lindfors, Suntola's close coworker since 1975. The number of reactor manufacturers increased rapidly and semiconductor applications became the industrial breakthrough of the ALD technology, as ALD became an enabling technology for the continuation of Moore's law. [10] In 2004, Tuomo Suntola received the European SEMI award for the development of the ALD technology for semiconductor applications [10] and in 2018 the Millennium Technology Prize. [13]

The developers of ML and ALE met at the 1st international conference on atomic layer epitaxy, "ALE-1" in Espoo, Finland, 1990. [10] [8] An attempt to expose the extent of molecular layering works was made in a scientific ALD review article in 2005 [2] and later in the VPHA-related publications. [14] [8] [15]

The name "atomic layer deposition" was apparently proposed for the first time in writing as an alternative to ALE in analogy with CVD by Markku Leskelä (professor at the University of Helsinki) at the ALE-1 conference, Espoo, Finland. It took about a decade before the name gained general acceptance with the onset of the international conference series on ALD by American Vacuum Society. [16]

00s

In 2000, Gurtej Singh Sandhu and Trung T. Doan of Micron Technology initiated the development of atomic layer deposition high-κ films for DRAM memory devices. This helped drive cost-effective implementation of semiconductor memory, starting with 90-nm node DRAM. [17] [18] Intel Corporation has reported using ALD to deposit high-κ gate dielectric for its 45 nm CMOS technology. [19]

ALD has been developed in two independent discoveries under names atomic layer epitaxy (ALE, Finland) and molecular layering (ML, Soviet Union). [14] To clarify the early history, the Virtual Project on the History of ALD (VPHA) has been set up in summer 2013. [20] it resulted in several publications reviewing the historical development of ALD under the names ALE and ML. [14] [10] [8] [15]

2010s

In 2010, sequential infiltration synthesis (SIS), first reported by researchers at Argonne National Laboratory, was added to the family of ALD-derived techniques.

Surface reaction mechanisms

In a prototypical ALD process, a substrate is exposed to two reactants A and B in a sequential, non-overlapping way. In contrast to other techniques such as chemical vapor deposition (CVD), where thin-film growth proceeds on a steady-state fashion, in ALD each reactant reacts with the surface in a self-limited way: the reactant molecules can react only with a finite number of reactive sites on the surface. Once all those sites have been consumed in the reactor, the growth stops. The remaining reactant molecules are flushed away and only then reactant B is inserted into the reactor. By alternating exposures of A and B, a thin film is deposited. This process is shown in the side figure. Consequently, when describing an ALD process one refers to both dose times (the time a surface is being exposed to a precursor) and purge times (the time left in between doses for the precursor to evacuate the chamber) for each precursor. The dose-purge-dose-purge sequence of a binary ALD process constitutes an ALD cycle. Also, rather than using the concept of growth rate, ALD processes are described in terms of their growth per cycle. [21]

In ALD, enough time must be allowed in each reaction step so that a full adsorption density can be achieved. When this happens the process has reached saturation. This time will depend on two key factors: the precursor pressure, and the sticking probability. [22] Therefore, the rate of adsorption per unit of surface area can be expressed as:

Where R is the rate of adsorption, S is the sticking probability, and F is the incident molar flux. [23] However, a key characteristic of ALD is the S will change with time, as more molecules have reacted with the surface this sticking probability will become smaller until reaching a value of zero once saturation is reached.

The specific details on the reaction mechanisms are strongly dependent on the particular ALD process. With hundreds of process available to deposit oxide, metals, nitrides, sulfides, chalcogenides, and fluoride materials, [4] the unraveling of the mechanistic aspects of ALD processes is an active field of research. [24] Some representative examples are shown below.

Thermal ALD

Proposed mechanism for Al2O3 ALD during the a) TMA reaction b) H2O reaction Al2O3 Reaction Mechanism for ALD.png
Proposed mechanism for Al2O3 ALD during the a) TMA reaction b) H2O reaction

Thermal ALD requires temperatures ranging from room temperature (~20°C) to 350°C for ligand exchange or combustion type surface reactions. [25] It occurs through surface reactions, which enables accurate thickness control no matter the substrate geometry (subject to aspect ratio) and reactor design. [1]

The synthesis of Al2O3 from trimethylaluminum (TMA) and water is one of the best known thermal ALD examples. During the TMA exposure, TMA dissociatively chemisorbs on the substrate surface and any remaining TMA is pumped out of the chamber. The dissociative chemisorption of TMA leaves a surface covered with AlCH3. The surface is then exposed to H2O vapor, which reacts with the surface –CH3 forming CH4 as a reaction byproduct and resulting in a hydroxylated Al2O3 surface. [1]

Plasma ALD

In plasma-assisted ALD (PA-ALD), the high reactivity of the plasma species allows to reduce the deposition temperature without compromising the film quality; also, a wider range of precursors can be used and thus a wider range of materials can be deposited as compared to thermal ALD. [1]

Spatial ALD

In temporal ALD the separate precursor and co-reactant doses are separated from each other in time by a purge step. In contrast, in spatial ALD (s-ALD), these gases are delivered at different locations, so they are separated in space. In atmospheric pressure s-ALD the precursor and co-reactant are delivered continuously and they are separated from each other by a gas curtain to prevent gas phase reactions. Such gas curtain typically consists of nitrogen injection and exhaust positions, see Figure 1. As a substrates moves through the different gas zones, self-limiting reactions take place at the substrate surface and the ALD process takes place. As this process can easily be accelerated, the deposition rate for spatial ALD can be much higher than for conventional ALD. For example, for ALD of Al2O3 the deposition rate increases from 100-300 nm per hour to 60 nm per minute. [5]

Schematic drawing of the spatial ALD concept Spatial ALD concept.png
Schematic drawing of the spatial ALD concept

The inline nature of spatial ALD makes it suitable for high volume production lines and roll-to-roll production. In general, s-ALD has been employed to apply moisture permeation barriers, passivation layers in silicon solar cells and functional layers in batteries. The chemistry for spatial ALD processes is comparable with typical temporal ALD processes, and materials that have been explored include inorganic metal oxides such as Al2O3, (Al- or Ga doped) ZnO, SiO2, In2O3, InZnO, LIPON, Zn(O,S), SnOx, and TiOx,but also PMG metals (Pt, Ir, Ru) can be deposited. Additionally, organic molecules can be grown in combination with inorganic atoms to enable molecular layer deposition (MLD). Plasma- or ozon enhanced spatial ALD has been demonstrated which generally lowers the deposition temperatures required.

Photo-assisted ALD

In this ALD variety, UV light is used to accelerate surface reactions on the substrate. Hence reaction temperature can be reduced, as in plasma-assisted ALD. As compared to plasma-assisted ALD, the activation is weaker, but is often easier to control by adjusting the wavelength, intensity and timing of illumination. [1]

Metal ALD

Copper metal ALD has attracted much attention due to the demand for copper as an interconnect material[ citation needed ] and the relative ease by which copper can be deposited thermally. [26] Copper has a positive standard electrochemical potential [27] and is the most easily reduced metal of the first-row transition metals. Thus, numerous ALD processes have been developed, including several using hydrogen gas as the coreactant. [26] [28] Ideally, copper metal ALD should be performed at ≤100 °C to achieve continuous films with low surface roughness, [29] since higher temperatures can result in agglomeration of deposited copper. [30]

Some metals can be grown by ALD via fluorosilane elimination reactions using a metal halide and a silicon precursor (e.g. SiH4, Si2H6) as the reactants. These reactions are very exothermic due to the formation of stable Si–F bonds. [24] Metals deposited by fluorosilane elimination include tungsten [31] and molybdenum. [32] As an example, the surface reactions for tungsten metal ALD using WF6 and Si2H6 as the reactants can be expressed as [31] [33]

WSiF2H* + WF6 → WWF5* + SiF3H
WF5* + Si2H6 → WSiF2H* + SiF3H + 2 H2

The overall ALD reaction is [24]

WF6 + Si2H6 → W + SiF3H + 2 H2, ∆H = –181 kcal

The growth rate can vary from 4 to 7 Å/cycle depending on the deposition temperature (177 to 325 °C) and Si2H6 reactant exposure (~104 to 106 L), factors that may influence Si2H6 insertion into Si–H bonds [34] [35] and result in a silicon CVD contribution to the tungsten ALD growth. [24]

The thermal ALD of many other metals is challenging (or presently impossible) due to their very negative electrochemical potentials. Recently, the application of novel strong reducing agents has led to the first reports of low-temperature thermal ALD processes for several electropositive metals. Chromium metal was deposited using a chromium alkoxide precursor and BH3(NHMe2). [36] Titanium and tin metals were grown from their respective metal chlorides (MCl4, M = Ti, Sn) and a bis(trimethylsilyl) six-membered ring compound. [37] [38] Aluminum metal was deposited using an aluminum dihydride precursor and AlCl3. [39]

Catalytic SiO2 ALD

The use of catalysts is of paramount importance in delivering reliable methods of SiO2 ALD. Without catalysts, surface reactions leading to the formation of SiO2 are generally very slow and only occur at exceptionally high temperatures. Typical catalysts for SiO2 ALD include Lewis bases such as NH3 or pyridine and SiO2; ALD can also be initiated when these Lewis bases are coupled with other silicon precursors such as tetraethoxysilane (TEOS). [24] Hydrogen bonding is believed to occur between the Lewis base and the SiOH* surface species or between the H2O based reactant and the Lewis base. Oxygen becomes a stronger nucleophile when the Lewis base hydrogen bonds with the SiOH* surface species because the SiO-H bond is effectively weakened. As such, the electropositive Si atom in the SiCl4 reactant is more susceptible to nucleophilic attack. Similarly, hydrogen bonding between a Lewis base and an H2O reactant make the electronegative O in H2O a strong nucleophile that is able to attack the Si in an existing SiCl* surface species. [40] The use of a Lewis base catalyst is more or less a requirement for SiO2 ALD, as without a Lewis base catalyst, reaction temperatures must exceed 325 °C and pressures must exceed 103 torr. Generally, the most favorable temperature to perform SiO2 ALD is at 32 °C and a common deposition rate is 1.35 angstroms per binary reaction sequence. Two surface reactions for SiO2 ALD, an overall reaction, and a schematic illustrating Lewis base catalysis in SiO2 ALD are provided below.

Primary reactions at surface:
SiOH* + SiCl4 → SiOSiCl3* + HCl
SiCl* + H2O → SiOH* + HCl
Overall ALD reaction:
SiCl4 + 2H2O → SiO2 + 4 HCl
Proposed mechanism of Lewis base catalysis of SiO2 ALD during a) an SiCl4 reaction and b) an H2O reaction SiO2 Reaction Mechanism.png
Proposed mechanism of Lewis base catalysis of SiO2 ALD during a) an SiCl4 reaction and b) an H2O reaction
ALD reaction mechanisms
Type of ALDTemperature rangeViable precursorsReactantsApplications
Catalytic ALD>32 °C with Lewis base catalyst [24] Metal oxides (i.e. TiO2, ZrO2,SnO2) [24] (Metal)Cl4, H2O [24] High k-dielectric layers, protective layers, anti-reflective layers, etc. [24]
Al2O3 ALD30–300 °CAl2O3, metal oxides [41] (Metal)Cl4, H2O, Ti(OiPr)4, (Metal)(Et)2 [24] Dielectric layers, insulating layers, etc., Solar Cell surface passivations [41]
Metal ALD using thermal chemistry175–400 °C [42] Metal Fluorides, organometallics, catalytic metals [42] M(C5H5)2, (CH3C5H4)M(CH3)3 ,Cu(thd)2, Pd(hfac)2, Ni(acac)2, H2 [42] Conductive pathways, catalytic surfaces, MOS devices [42]
ALD on polymers25–100 °C [24] Common polymers (polyethylene, PMMA, PP, PS, PVC, PVA, etc.) [24] Al(CH3)3, H2O, M(CH3)3 [24] Polymer surface functionalization, creation of composites, diffusion barriers, etc. [24]
ALD on particles25–100 °C for polymer particles, 100–400 °C for metal/alloy particles [24] BN, ZrO2, CNTs, polymer particlesVarious gases: Fluidized bed reactors are used to allow coating of individual particles [24] Deposition of protective and insulative coatings, optical and mechanical property modification, formation of composite structures, conductive mediums
Plasma or radical-enhanced ALD for single element ALD materials20–800 °C [43] [24] Pure metals (i.e. Ta, Ti, Si, Ge, Ru, Pt), metal nitrides (i.e. TiN, TaN, etc.) [24] Organometallics, MH2Cl2, tertbutylimidotris(diethylamido)tantalum (TBTDET), bis(ethylcyclopentadienyl)ruthenium), NH3 [24] DRAM structures, MOSFET and semiconductor devices, capacitors [44]
Plasma-enhanced ALD of metal oxides and nitrides20–300 °CAl2O3, SiO2, ZnOx, InOx, HfO2, SiNx, TaNx [45] [46] [47] Similar to thermal ALD

Applications

Microelectronics applications

ALD is a useful process for the fabrication of microelectronics due to its ability to produce accurate thicknesses and uniform surfaces in addition to high quality film production using various different materials. In microelectronics, ALD is studied as a potential technique to deposit high-κ (high permittivity) gate oxides, high-κ memory capacitor dielectrics, ferroelectrics, and metals and nitrides for electrodes and interconnects. In high-κ gate oxides, where the control of ultra thin films is essential, ALD is only likely to come into wider use at the 45 nm technology. In metallizations, conformal films are required; currently it is expected that ALD will be used in mainstream production at the 65 nm node. In dynamic random access memories (DRAMs), the conformality requirements are even higher and ALD is the only method that can be used when feature sizes become smaller than 100 nm. Several products that use ALD include magnetic recording heads, MOSFET gate stacks, DRAM capacitors, nonvolatile ferroelectric memories, and many others.

Gate oxides

Deposition of the high-κ oxides Al2O3, ZrO2, and HfO2 has been one of the most widely examined areas of ALD. The motivation for high-κ oxides comes from the problem of high tunneling current through the commonly used SiO2 gate dielectric in MOSFETs when it is downscaled to a thickness of 1.0 nm and below. With the high-κ oxide, a thicker gate dielectric can be made for the required capacitance density, thus the tunneling current can be reduced through the structure.

Transition-metal nitrides

Transition-metal nitrides, such as TiN and TaN, find potential use both as metal barriers and as gate metals. Metal barriers are used to encase the copper interconnects used in modern integrated circuits to avoid diffusion of Cu into the surrounding materials, such as insulators and the silicon substrate, and also, to prevent Cu contamination by elements diffusing from the insulators by surrounding every Cu interconnect with a layer of metal barriers. The metal barriers have strict demands: they should be pure; dense; conductive; conformal; thin; have good adhesion towards metals and insulators. The requirements concerning process technique can be fulfilled by ALD. The most studied ALD nitride is TiN which is deposited from TiCl4 and NH3. [48]

Metal films

Motivations of an interest in metal ALD are:

  1. Cu interconnects and W plugs, or at least Cu seed layers [49] for Cu electrodeposition and W seeds for W CVD,
  2. transition-metal nitrides (e.g. TiN, TaN, WN) for Cu interconnect barriers
  3. noble metals for ferroelectric random access memory (FRAM) and DRAM capacitor electrodes
  4. high- and low-work function metals for dual-gate MOSFETs.

Magnetic recording heads

Magnetic recording heads utilize electric fields to polarize particles and leave a magnetized pattern on a hard disk. [50] Al2O3 ALD is used to create uniform, thin layers of insulation. [51] By using ALD, it is possible to control the insulation thickness to a high level of accuracy. This allows for more accurate patterns of magnetized particles and thus higher quality recordings.

DRAM capacitors

DRAM capacitors are yet another application of ALD. An individual DRAM cell can store a single bit of data and consists of a single MOS transistor and a capacitor. Major efforts are being put into reducing the size of the capacitor which will effectively allow for greater memory density. In order to change the capacitor size without affecting the capacitance, different cell orientations are being used. Some of these include stacked or trench capacitors. [52] With the emergence of trench capacitors, the problem of fabricating these capacitors comes into play, especially as the size of semiconductors decreases. ALD allows trench features to be scaled to beyond 100 nm. The ability to deposit single layers of material allows for a great deal of control over the material. Except for some issues of incomplete film growth (largely due to insufficient amount or low temperature substrates), ALD provides an effective means of depositing thin films like dielectrics or barriers. [53]

Photovoltaic Applications

The use of ALD technique in solar cells is becoming more prominent with time. In the past, it has been used to deposit surface passivation layers in crystalline-silicon (c-Si) solar cells, buffer layers in copper indium gallium selenide (CIGS) solar cells and barrier layers in dye-sensitized solar cells (DSSCs). [54] For e.g., the use of ALD grown Al2O3 for solar cell applications was demonstrated by Schmidt et al. It was used as a surface passivation layer for the development of PERC (passivated emitter and rear cell) solar cells. [55] The use of ALD technique to deposit charge transport layers (CTLs) is also being explored widely for perovskite solar cells. The ability of ALD to deposit high quality and conformal films with precise control on thickness can provide great advantage in finely tailoring the interfaces between CTL and perovskite layer. Moreover, it can be useful in obtaining uniform and pin-hole free films over large areas. These aspects make ALD a promising technique in further improving and stabilizing the performance of perovskite solar cells. [56]

Electrooptic Applications

Thin Film Couplers

As photonic integrated circuits (PICs) emerge, often in a manner similar to electronic integrated circuits, a wide variety of on-chip optical device structures are needed. One example is the nanophotonic coupler that behaves as a micrometer-size beamsplitter at the intersection of optical waveguides [57] in which high aspect ratio trenches (~100 nm width x 4 micrometer depth) are first defined by etching then back-filled with aluminum oxide by ALD to form optical-quality interfaces.

Biomedical applications

Understanding and being able to specify the surface properties on biomedical devices is critical in the biomedical industry, especially regarding devices that are implanted in the body. A material interacts with the environment at its surface, so the surface properties largely direct the interactions of the material with its environment. Surface chemistry and surface topography affect protein adsorption, cellular interactions, and the immune response. [58]

Some current uses in biomedical applications include creating flexible sensors, modifying nanoporous membranes, polymer ALD, and creating thin biocompatible coatings. ALD has been used to deposit TiO2 films to create optical waveguide sensors as diagnostic tools. [59] Also, ALD is beneficial in creating flexible sensing devices that can be used, for example, in the clothing of athletes to detect movement or heart rate. ALD is one possible manufacturing process for flexible organic field-effect transistors (OFETs) because it is a low-temperature deposition method. [60]

Nanoporous materials are emerging throughout the biomedical industry in drug delivery, implants, and tissue engineering. The benefit of using ALD to modify the surfaces of nanoporous materials is that, unlike many other methods, the saturation and self-limiting nature of the reactions means that even deeply embedded surfaces and interfaces are coated with a uniform film. [1] Nanoporous surfaces can have their pore size reduced further in the ALD process because the conformal coating will completely coat the insides of the pores. This reduction in pore size may be advantageous in certain applications. [61]

As a permeation barrier for plastics

ALD can be used as a permeation barrier for plastics. [62] For example, it is well established as a method for encapsulation of OLEDs on plastic. [63] [64] ALD can also be used to inoculate 3-D printed plastic parts for use in vacuum environments by mitigating outgassing, which allows for custom low-cost tools for both semiconductor processing and space applications. [65] ALD can be used to form a barrier on plastics in roll to roll processes. [66]

Quality and its control

The quality of an ALD process can be monitored using several different imaging techniques to make sure that the ALD process is occurring smoothly and producing a conformal layer over a surface. One option is the use of cross-sectional scanning electron microscopy (SEM) or transmission electron microscopy (TEM). High magnification of images is pertinent for assessing the quality of an ALD layer. X-ray reflectivity (XRR) is a technique that measures thin-film properties including thickness, density, and surface roughness. [67] Another optical quality evaluation tool is spectroscopic ellipsometry. Its application between the depositions of each layer by ALD provides information on the growth rate and material characteristics of the film. [68]

Applying this analysis tool during the ALD process, sometimes referred to as in situ spectroscopic ellipsometry, allows for greater control over the growth rate of the films during the ALD process. This type of quality control occurs during the ALD process rather than assessing the films afterwards as in TEM imaging, or XRR. Additionally, Rutherford backscattering spectroscopy (RBS), X-ray photoelectron spectroscopy (XPS), Auger electron spectroscopy (AES), and four-terminal sensing can be used to provide quality control information with regards to thin films deposited by ALD. [68]

Advantages and limitations

Advantages

ALD provides a very controlled method to produce a film to an atomically specified thickness. Also, the growth of different multilayer structures is straightforward. Because of the sensitivity and precision of the equipment, it is very beneficial to those in the field of microelectronics and nanotechnology in producing small, but efficient semiconductors. ALD typically involves the use of relatively low temperatures and a catalyst, which is thermochemically favored. The lower temperature is beneficial when working with soft substrates, such as organic and biological samples. Some precursors that are thermally unstable still may be used so long as their decomposition rate is relatively slow. [1] [24]

Disadvantages

High purity of the substrates is very important, and as such, high costs will ensue. Although this cost may not be much relative to the cost of the equipment needed, one may need to run several trials before finding conditions that favor their desired product. Once the layer has been made and the process is complete, there may be a requirement of needing to remove excess precursors from the final product. In some final products there are less than 1% of impurities present. [69]

Economic viability

Atomic layer deposition instruments can range anywhere from $200,000 to $800,000 based on the quality and efficiency of the instrument. There is no set cost for running a cycle of these instruments; the cost varies depending on the quality and purity of the substrates used, as well as the temperature and time of machine operation. Some substrates are less available than others and require special conditions, as some are very sensitive to oxygen and may then increase the rate of decomposition. Multicomponent oxides and certain metals traditionally needed in the microelectronics industry are generally not cost efficient. [70]

Reaction time

The process of ALD is very slow and this is known to be its major limitation. For example, Al2O3 is deposited at a rate of 0.11 nm per cycle, [2] which can correspond to an average deposition rate of 100–300 nm per hour, depending on cycle duration and pumping speed. This problem can be overrun by using Spatial ALD, where the substrate is moved in space below a special ALD showerhead, and both the precursor gasses are separated by gas curtains/bearings. In this way, deposition rates of 60 nm per minute could be reached. ALD is typically used to produce substrates for microelectronics and nanotechnology, and therefore, thick atomic layers are not needed. Many substrates cannot be used because of their fragility or impurity. Impurities are typically found on the 0.1–1 at.% because of some of the carrier gases are known to leave residue and are also sensitive to oxygen. [69]

Chemical limitations

Precursors must be volatile, but not subject to decomposition, as most precursors are very sensitive to oxygen/air, thus causing a limitation on the substrates that may be used. Some biological substrates are very sensitive to heat and may have fast decomposition rates that are not favored and yield larger impurity levels. There are a multitude of thin-film substrate materials available, but the important substrates needed for use in microelectronics can be hard to obtain and may be very expensive. [69]

Related Research Articles

<span class="mw-page-title-main">Chemical vapor deposition</span> Method used to apply surface coatings

Chemical vapor deposition (CVD) is a vacuum deposition method used to produce high-quality, and high-performance, solid materials. The process is often used in the semiconductor industry to produce thin films.

<span class="mw-page-title-main">Epitaxy</span> Crystal growth process relative to the substrate

Epitaxy refers to a type of crystal growth or material deposition in which new crystalline layers are formed with one or more well-defined orientations with respect to the crystalline seed layer. The deposited crystalline film is called an epitaxial film or epitaxial layer. The relative orientation(s) of the epitaxial layer to the seed layer is defined in terms of the orientation of the crystal lattice of each material. For most epitaxial growths, the new layer is usually crystalline and each crystallographic domain of the overlayer must have a well-defined orientation relative to the substrate crystal structure. Epitaxy can involve single-crystal structures, although grain-to-grain epitaxy has been observed in granular films. For most technological applications, single-domain epitaxy, which is the growth of an overlayer crystal with one well-defined orientation with respect to the substrate crystal, is preferred. Epitaxy can also play an important role while growing superlattice structures.

A thin film is a layer of material ranging from fractions of a nanometer (monolayer) to several micrometers in thickness. The controlled synthesis of materials as thin films is a fundamental step in many applications. A familiar example is the household mirror, which typically has a thin metal coating on the back of a sheet of glass to form a reflective interface. The process of silvering was once commonly used to produce mirrors, while more recently the metal layer is deposited using techniques such as sputtering. Advances in thin film deposition techniques during the 20th century have enabled a wide range of technological breakthroughs in areas such as magnetic recording media, electronic semiconductor devices, integrated passive devices, LEDs, optical coatings, hard coatings on cutting tools, and for both energy generation and storage. It is also being applied to pharmaceuticals, via thin-film drug delivery. A stack of thin films is called a multilayer.

<span class="mw-page-title-main">Trimethylaluminium</span> Chemical compound

Trimethylaluminium is one of the simplest examples of an organoaluminium compound. Despite its name it has the formula Al2(CH3)6 (abbreviated as Al2Me6 or TMA), as it exists as a dimer. This colorless liquid is pyrophoric. It is an industrially important compound, closely related to triethylaluminium.

Atomic layer epitaxy (ALE), more generally known as atomic layer deposition (ALD), is a specialized form of thin film growth (epitaxy) that typically deposit alternating monolayers of two elements onto a substrate. The crystal lattice structure achieved is thin, uniform, and aligned with the structure of the substrate. The reactants are brought to the substrate as alternating pulses with "dead" times in between. ALE makes use of the fact that the incoming material is bound strongly until all sites available for chemisorption are occupied. The dead times are used to flush the excess material. It is mostly used in semiconductor fabrication to grow thin films of thickness in the nanometer scale.

<span class="mw-page-title-main">Parylene</span> Chemical compound

Parylene is the common name of a polymer whose backbone consists of para-benzenediyl rings –C
6
H
4
– connected by 1,2-ethanediyl bridges –CH
2
CH
2
–. It can be obtained by polymerization of para-xylyleneH
2
C
=C
6
H
4
=CH
2
.

<span class="mw-page-title-main">Sputter deposition</span> Method of thin film application

Sputter deposition is a physical vapor deposition (PVD) method of thin film deposition by the phenomenon of sputtering. This involves ejecting material from a "target" that is a source onto a "substrate" such as a silicon wafer. Resputtering is re-emission of the deposited material during the deposition process by ion or atom bombardment. Sputtered atoms ejected from the target have a wide energy distribution, typically up to tens of eV. The sputtered ions can ballistically fly from the target in straight lines and impact energetically on the substrates or vacuum chamber. Alternatively, at higher gas pressures, the ions collide with the gas atoms that act as a moderator and move diffusively, reaching the substrates or vacuum chamber wall and condensing after undergoing a random walk. The entire range from high-energy ballistic impact to low-energy thermalized motion is accessible by changing the background gas pressure. The sputtering gas is often an inert gas such as argon. For efficient momentum transfer, the atomic weight of the sputtering gas should be close to the atomic weight of the target, so for sputtering light elements neon is preferable, while for heavy elements krypton or xenon are used. Reactive gases can also be used to sputter compounds. The compound can be formed on the target surface, in-flight or on the substrate depending on the process parameters. The availability of many parameters that control sputter deposition make it a complex process, but also allow experts a large degree of control over the growth and microstructure of the film.

ASM is a Dutch headquartered multinational corporation that specializes in the design, manufacturing, sales and service of semiconductor wafer processing equipment for the fabrication of semiconductor devices. ASM's products are used by semiconductor manufacturers in front-end wafer processing in their semiconductor fabrication plants. ASM's technologies include atomic layer deposition, epitaxy, chemical vapor deposition and diffusion.

<span class="mw-page-title-main">Zirconium diboride</span> Chemical compound

Zirconium diboride (ZrB2) is a highly covalent refractory ceramic material with a hexagonal crystal structure. ZrB2 is an ultra-high temperature ceramic (UHTC) with a melting point of 3246 °C. This along with its relatively low density of ~6.09 g/cm3 (measured density may be higher due to hafnium impurities) and good high temperature strength makes it a candidate for high temperature aerospace applications such as hypersonic flight or rocket propulsion systems. It is an unusual ceramic, having relatively high thermal and electrical conductivities, properties it shares with isostructural titanium diboride and hafnium diboride.

<span class="mw-page-title-main">Copper indium gallium selenide solar cell</span>

A copper indium gallium selenide solar cell is a thin-film solar cell used to convert sunlight into electric power. It is manufactured by depositing a thin layer of copper indium gallium selenide solid solution on glass or plastic backing, along with electrodes on the front and back to collect current. Because the material has a high absorption coefficient and strongly absorbs sunlight, a much thinner film is required than of other semiconductor materials.

Combustion chemical vapor deposition (CCVD) is a chemical process by which thin-film coatings are deposited onto substrates in the open atmosphere.

Chemical Bath Deposition, also called Chemical Solution Deposition and CBD, is a method of thin-film deposition, using an aqueous precursor solution. Chemical Bath Deposition typically forms films using heterogeneous nucleation, to form homogeneous thin films of metal chalcogenides and many less common ionic compounds. Chemical Bath Deposition produces films reliably, using a simple process with little infrastructure, at low temperature (<100˚C), and at low cost. Furthermore, Chemical Bath Deposition can be employed for large-area batch processing or continuous deposition. Films produced by CBD are often used in semiconductors, photovoltaic cells, and supercapacitors, and there is increasing interest in using Chemical Bath Deposition to create nanomaterials.

<span class="mw-page-title-main">Eutectic bonding</span>

Eutectic bonding, also referred to as eutectic soldering, describes a wafer bonding technique with an intermediate metal layer that can produce a eutectic system. Those eutectic metals are alloys that transform directly from solid to liquid state, or vice versa from liquid to solid state, at a specific composition and temperature without passing a two-phase equilibrium, i.e. liquid and solid state. The fact that the eutectic temperature can be much lower than the melting temperature of the two or more pure elements can be important in eutectic bonding.

<span class="mw-page-title-main">Ion layer gas reaction</span>

Ion layer gas reaction (ILGAR®) is a non-vacuum, thin-film deposition technique developed and patented by the group of Professor Dr. Christian-Herbert Fischer at the Helmholtz-Zentrum Berlin for materials and energy in Berlin, Germany. It is a sequential and cyclic process that enables the deposition of semiconductor thin films, mainly for photovoltaic applications, specially chalcopyrite absorber layers and buffer layers. The ILGAR technique was awarded as German High Tech Champion 2011 by the Fraunhofer Society.

<span class="mw-page-title-main">Tantalum(V) ethoxide</span> Chemical compound

Tantalum(V) ethoxide is a metalorganic compound with formula Ta2(OC2H5)10, often abbreviated as Ta2(OEt)10. It is a colorless solid that dissolves in some organic solvents but hydrolyzes readily. It is used to prepare films of tantalum(V) oxide.

Valentin Borisovich Aleskovsky was a Soviet and Russian scientist and administrator known for his pioneering research on surface reactions underpinning the thin film deposition technique that years later became known as atomic layer deposition. He was the rector of Leningrad Technological Institute (1962-75) and of Leningrad State University (1975-1986).

Two dimensional hexagonal boron nitride is a material of comparable structure to graphene with potential applications in e.g. photonics., fuel cells and as a substrate for two-dimensional heterostructures. 2D h-BN is isostructural to graphene, but where graphene is conductive, 2D h-BN is a wide-gap insulator.

Molecular layer deposition (MLD) is a vapour phase thin film deposition technique based on self-limiting surface reactions carried out in a sequential manner. Essentially, MLD resembles the well established technique of atomic layer deposition (ALD) but, whereas ALD is limited to exclusively inorganic coatings, the precursor chemistry in MLD can use small, bifunctional organic molecules as well. This enables, as well as the growth of organic layers in a process similar to polymerization, the linking of both types of building blocks together in a controlled way to build up organic-inorganic hybrid materials.

Jeffrey Elam is a Distinguished Fellow, Senior Chemist and Group Leader in the Applied Materials Division at the U.S. Department of Energy's Argonne National Laboratory. He leads Argonne's atomic layer deposition (ALD) research program, where he directs research and development and commercialization of thin film coating technologies for energy applications.

Derived from atomic layer deposition (ALD), sequential infiltration synthesis (SIS) is a technique in which a polymer is infused with inorganic material using sequential, self-limiting exposures to gaseous precursors, allowing for the precise control over the composition, structure and properties of materials. This synthesis involves metal-organic vapor-phase precursors and co-reactants dissolving and diffusing into polymers, interacting with the polymer functional groups via reversible complex formation and/or irreversible chemical reactions yielding desired composite materials, which may be nanostructured. The metal-organic precursor (A) and co-react vapor (B) are supplied in an alternating, ABAB… sequence. Following SIS, the organic phase can be removed thermally or chemically to leave only the inorganic components behind. The precise control over the infiltration and synthesis via SIS allows the creation of materials with tailored properties like composition, mechanics, stoichiometry, porosity, conductivity, refractive index, and chemical functionality on the nanoscale. This empowers SIS to have a wide range of applications from electronics to energy storage to catalysis. SIS is sometimes referred to as "multiple pulsed vapor-phase infiltration" (MPI), "vapor phase infiltration" (VPI)” or "sequential vapor infiltration" (SVI)".

References

  1. 1 2 3 4 5 6 7 8 Oviroh, Peter Ozaveshe; Akbarzadeh, Rokhsareh; Pan, Dongqing; Coetzee, Rigardt Alfred Maarten; Jen, Tien-Chien (2019). "New Development of Atomic Layer Deposition: Processes, Methods, and Applications". Science and Technology of Advanced Materials. 20 (1): 465–496. Bibcode:2019STAdM..20..465O. doi:10.1080/14686996.2019.1599694. PMC   6534251 . PMID   31164953. Open Access logo PLoS transparent.svg
  2. 1 2 3 4 Puurunen, Riikka L. (15 June 2005). "Surface chemistry of atomic layer deposition: A case study for the trimethylaluminum/water process". Journal of Applied Physics. 97 (12): 121301–121301–52. Bibcode:2005JAP....97l1301P. doi:10.1063/1.1940727.
  3. 1 2 Kessels, W.M.M. (2019). "ALD Database". Eindhoven University of Technology. doi:10.6100/alddatabase.
  4. 1 2 3 4 Miikkulainen, Ville; Leskelä, Markku; Ritala, Mikko; Puurunen, Riikka L. (14 January 2013). "Crystallinity of inorganic films grown by atomic layer deposition: Overview and general trends". Journal of Applied Physics. 113 (2): 021301–021301–101. Bibcode:2013JAP...113b1301M. doi:10.1063/1.4757907.
  5. 1 2 Puurunen, Riikka L. (15 June 2005). "Surface chemistry of atomic layer deposition: A case study for the trimethylaluminum/water process". Journal of Applied Physics. 97 (12): 121301–121301–52. Bibcode:2005JAP....97l1301P. doi:10.1063/1.1940727.
  6. Knoops, Harm C. M.; Faraz, Tahsin; Arts, Karsten; Kessels, Wilhelmus M. M. (Erwin) (May 2019). "Status and prospects of plasma-assisted atomic layer deposition". Journal of Vacuum Science & Technology A. 37 (3): 030902. Bibcode:2019JVSTA..37c0902K. doi: 10.1116/1.5088582 . S2CID   108003079.
  7. Mackus, Adriaan J. M.; Schneider, Joel R.; MacIsaac, Callisto; Baker, Jon G.; Bent, Stacey F. (10 December 2018). "Synthesis of Doped, Ternary, and Quaternary Materials by Atomic Layer Deposition: A Review". Chemistry of Materials. 31 (4): 1142–1183. doi:10.1021/acs.chemmater.8b02878. S2CID   104385650.
  8. 1 2 3 4 5 6 7 8 9 Malygin, Anatolii A.; Drozd, Victor E.; Malkov, Anatolii A.; Smirnov, Vladimir M. (2015). "From V. B. Aleskovskii's "Framework" Hypothesis to the Method of Molecular Layering/Atomic Layer Deposition". Chemical Vapor Deposition. 21 (10–11–12): 216–240. doi:10.1002/cvde.201502013.
  9. Aleskovskii, V. B. Zh. Prikl. Khim. 47, 2145 (1974); [J. Appl. Chem. USSR. 47, 2207, (1974)].
  10. 1 2 3 4 5 6 7 8 9 10 11 12 13 Puurunen, Riikka L. (2014). "A Short History of Atomic Layer Deposition: Tuomo Suntola's Atomic Layer Epitaxy". Chemical Vapor Deposition. 20 (10–11–12): 332–344. doi: 10.1002/cvde.201402012 . S2CID   137543630.
  11. 1 2 3 Suntola, T. and Antson, J. (1977) U.S. patent 4,058,430 "Method for producing compound thin films"
  12. Suntola, T.; Pakkala, A. and Lindfors, S. (1983) U.S. patent 4,389,973 "Apparatus for performing growth of compound thin films"
  13. "2018 Millennium Technology Prize for Tuomo Suntola – Finnish physicist's innovation enables manufacture and development of information technology products" (Press release). Technology Academy Finland. 22 May 2018. Retrieved 22 May 2018.
  14. 1 2 3 Ahvenniemi, Esko; Akbashev, Andrew R.; Ali, Saima; Bechelany, Mikhael; Berdova, Maria; Boyadjiev, Stefan; Cameron, David C.; Chen, Rong; Chubarov, Mikhail (2016). "Review Article: Recommended reading list of early publications on atomic layer deposition—Outcome of the "Virtual Project on the History of ALD"". Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films. 35 (1): 010801. Bibcode:2017JVSTA..35a0801A. doi: 10.1116/1.4971389 . S2CID   209855960.
  15. 1 2 Puurunen, Riikka. "Learnings from an Open Science Effort: Virtual Project on the History of ALD". ecsarxiv.org. doi:10.1149/osf.io/exyv3. S2CID   240379307 . Retrieved 26 December 2018.
  16. Parsons, Gregory N.; Elam, Jeffrey W.; George, Steven M.; Haukka, Suvi; Jeon, Hyeongtag; Kessels, W. M. M. (Erwin); Leskelä, Markku; Poodt, Paul; Ritala, Mikko (2013). "History of atomic layer deposition and its relationship with the American VacuumSociety". Journal of Vacuum Science & Technology A. 31 (5): 050818. Bibcode:2013JVSTA..31e0818P. doi:10.1116/1.4816548. S2CID   97676893.
  17. "IEEE Andrew S. Grove Award Recipients". IEEE Andrew S. Grove Award . Institute of Electrical and Electronics Engineers . Retrieved 4 July 2019.
  18. Sandhu, Gurtej; Doan, Trung T. (22 August 2001). "Atomic layer doping apparatus and method". Google Patents . Retrieved 5 July 2019.
  19. Mistry, K.; Allen, C.; Auth, C.; Beattie, B.; Bergstrom, D.; Bost, M.; Brazier, M.; Buehler, M.; Cappellani, A.; Chau, R.; Choi, C.-H.; Ding, G.; Fischer, K.; Ghani, T.; Grover, R.; Han, W.; Hanken, D.; Hattendorf, M.; He, J.; Hicks, J.; Huessner, R.; Ingerly, D.; Jain, P.; James, R.; Jong, L.; Joshi, S.; Kenyon, C.; Kuhn, K.; Lee, K.; et al. (2007). "A 45nm Logic Technology with High-k+Metal Gate Transistors, Strained Silicon, 9 Cu Interconnect Layers, 193nm Dry Patterning, and 100% Pb-free Packaging" (PDF). 2007 IEEE International Electron Devices Meeting. pp. 247–250. doi:10.1109/IEDM.2007.4418914. ISBN   978-1-4244-1507-6. S2CID   12392861. Archived from the original (PDF) on 18 February 2008.
  20. Virtual project on the history of ALD. vph-ald.com
  21. "How Atomic Layer Deposition Works". Youtube (2011).
  22. Butt, Hans-Jurgen; Graf, Karlheinz; Kappl, Michael (2013). Physics and Chemistry of Interfaces (Third, Revised ed.). John Wiley & Sons. ISBN   978-3-527-41216-7.
  23. "2.3 Adsorption Kinetics – The Rate of Adsorption". www.chem.qmul.ac.uk.
  24. 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 George, S.M. (2010). "Atomic Layer Deposition: An Overview". Chem. Rev. 110 (1): 111–131. doi:10.1021/cr900056b. PMID   19947596.
  25. Güder, Firat (2012). Atomlagenabscheidung unterstützt Nanostrukturelle Transformationen [Atomic layer deposition assisted nanostructural transformations] (Doctoral thesis) (in German). University of Freiburg. Front cover image.
  26. 1 2 Knisley, Thomas J.; Kalutarage, Lakmal C.; Winter, Charles H. (December 2013). "Precursors and chemistry for the atomic layer deposition of metallic first row transition metal films". Coordination Chemistry Reviews. 257 (23–24): 3222–3231. doi:10.1016/j.ccr.2013.03.019.
  27. Haynes, William M., ed. (2011). CRC handbook of chemistry and physics : a ready-reference book of chemical and physical data (92nd ed.). Boca Raton, FL.: CRC Press. ISBN   9781439855119. OCLC   730008390.
  28. Gordon, Peter G.; Kurek, Agnieszka; Barry, Seán T. (2015). "Trends in Copper Precursor Development for CVD and ALD Applications". ECS Journal of Solid State Science and Technology. 4 (1): N3188–N3197. doi: 10.1149/2.0261501jss . ISSN   2162-8769. S2CID   97668427.
  29. Knisley, Thomas J.; Ariyasena, Thiloka C.; Sajavaara, Timo; Saly, Mark J.; Winter, Charles H. (25 October 2011). "Low Temperature Growth of High Purity, Low Resistivity Copper Films by Atomic Layer Deposition". Chemistry of Materials. 23 (20): 4417–4419. doi:10.1021/cm202475e. ISSN   0897-4756.
  30. Guo, Zheng; Li, Hao; Chen, Qiang; Sang, Lijun; Yang, Lizhen; Liu, Zhongwei; Wang, Xinwei (8 September 2015). "Low-Temperature Atomic Layer Deposition of High Purity, Smooth, Low Resistivity Copper Films by Using Amidinate Precursor and Hydrogen Plasma". Chemistry of Materials. 27 (17): 5988–5996. doi:10.1021/acs.chemmater.5b02137. ISSN   0897-4756.
  31. 1 2 Klaus, J.W; Ferro, S.J; George, S.M (February 2000). "Atomic layer deposition of tungsten using sequential surface chemistry with a sacrificial stripping reaction". Thin Solid Films. 360 (1–2): 145–153. Bibcode:2000TSF...360..145K. doi:10.1016/S0040-6090(99)01076-7.
  32. Seghete, D.; Rayner, G.B.; Cavanagh, A.S.; Anderson, V.R.; George, S.M. (12 April 2011). "Molybdenum Atomic Layer Deposition Using MoF 6 and Si 2 H 6 as the Reactants". Chemistry of Materials. 23 (7): 1668–1678. doi:10.1021/cm101673u. ISSN   0897-4756.
  33. Grubbs, R. K.; Steinmetz, N. J.; George, S. M. (2004). "Gas phase reaction products during tungsten atomic layer deposition using WF[sub 6] and Si[sub 2]H[sub 6]". Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures. 22 (4): 1811. Bibcode:2004JVSTB..22.1811G. doi:10.1116/1.1767105.
  34. Fabreguette, F.H.; Sechrist, Z.A.; Elam, J.W.; George, S.M. (September 2005). "Quartz crystal microbalance study of tungsten atomic layer deposition using WF6 and Si2H6". Thin Solid Films. 488 (1–2): 103–110. Bibcode:2005TSF...488..103F. doi:10.1016/j.tsf.2005.04.114.
  35. Elam, J.W; Nelson, C.E; Grubbs, R.K; George, S.M (May 2001). "Kinetics of the WF6 and Si2H6 surface reactions during tungsten atomic layer deposition". Surface Science. 479 (1–3): 121–135. Bibcode:2001SurSc.479..121E. doi:10.1016/S0039-6028(01)00969-4.
  36. Kalutarage, Lakmal C.; Martin, Philip D.; Heeg, Mary Jane; Winter, Charles H. (28 August 2013). "Volatile and Thermally Stable Mid to Late Transition Metal Complexes Containing α-Imino Alkoxide Ligands, a New Strongly Reducing Coreagent, and Thermal Atomic Layer Deposition of Ni, Co, Fe, and Cr Metal Films". Journal of the American Chemical Society. 135 (34): 12588–12591. doi:10.1021/ja407014w. ISSN   0002-7863. PMID   23947400.
  37. Klesko, Joseph P.; Thrush, Christopher M.; Winter, Charles H. (28 July 2015). "Thermal Atomic Layer Deposition of Titanium Films Using Titanium Tetrachloride and 2-Methyl-1,4-bis(trimethylsilyl)-2,5-cyclohexadiene or 1,4-Bis(trimethylsilyl)-1,4-dihydropyrazine". Chemistry of Materials. 27 (14): 4918–4921. doi:10.1021/acs.chemmater.5b01707. ISSN   0897-4756.
  38. Stevens, Eric C.; Mousa, Moataz Bellah M.; Parsons, Gregory N. (November 2018). "Thermal atomic layer deposition of Sn metal using SnCl 4 and a vapor phase silyl dihydropyrazine reducing agent". Journal of Vacuum Science & Technology A. 36 (6): 06A106. Bibcode:2018JVSTA..36fA106S. doi:10.1116/1.5055212. ISSN   0734-2101. S2CID   104844454.
  39. Blakeney, Kyle J.; Winter, Charles H. (27 March 2018). "Atomic Layer Deposition of Aluminum Metal Films Using a Thermally Stable Aluminum Hydride Reducing Agent". Chemistry of Materials. 30 (6): 1844–1848. doi:10.1021/acs.chemmater.8b00445. ISSN   0897-4756.
  40. Brown, S.; Howe, J.Y.; Ma, Z.; Et (2008). "Surface Modification of Au/TiO2 Catalysts by SiO2 via Atomic Layer Deposition". The Journal of Physical Chemistry C. 112 (25): 9448–9457. doi:10.1021/jp801484h.
  41. 1 2 Werner, Florian; Stals, Walter; Gortzen, Roger; Veith, Boris; Brendel, Rolf; Schmidt, Jan (2011). "High-rate atomic layer deposition of Al2O3 for the surface passivation of Si solar cells". Energy Procedia. 8: 1301–306. doi: 10.1016/j.egypro.2011.06.140 .
  42. 1 2 3 4 Kim, H. (2003). "Atomic layer deposition of metal and nitride thin films: Current research efforts and applications for semiconductor device processing". Journal of Vacuum Science and Technology. 21 (6): 2231. Bibcode:2003JVSTB..21.2231K. doi:10.1116/1.1622676.
  43. Mackus, Adriaan J. M.; Garcia-Alonso, Diana; Knoops, Harm C. M.; Bol, Ageeth A.; Kessels, Wilhelmus M. M. (2013). "Room-Temperature Atomic Layer Deposition of Platinum". Chemistry of Materials. 25 (9): 1769–1774. doi:10.1021/cm400274n.
  44. Greer, Frank; Fraser, D.; Coburn, J.W. and Graves, David B. (2002) "Fundamental Vacuum Beam Studies of Radical Enhanced Atomic Layer Chemical Vapor Deposition (REAL-CVD) of TiN". NCCAVS.
  45. Potts, S.E.; Kessels, W.M.M. (December 2013). "Energy-enhanced atomic layer deposition for more process and precursor versatility". Coordination Chemistry Reviews. 257 (23–24): 3254–3270. doi:10.1016/j.ccr.2013.06.015.
  46. Knoops, Harm C. M.; Braeken, Eline M. J.; de Peuter, Koen; Potts, Stephen E.; Haukka, Suvi; Pore, Viljami; Kessels, Wilhelmus M. M. (9 September 2015). "Atomic Layer Deposition of Silicon Nitride from Bis(tert-butylamino)silane and N2 Plasma" (PDF). ACS Applied Materials & Interfaces. 7 (35): 19857–19862. doi:10.1021/acsami.5b06833. PMID   26305370.
  47. Langereis, E.; Knoops, H. C. M.; Mackus, A. J. M.; Roozeboom, F.; van de Sanden, M. C. M.; Kessels, W. M. M. (15 October 2007). "Synthesis and in situ characterization of low-resistivity TaNx films by remote plasma atomic layer deposition". Journal of Applied Physics. 102 (8): 083517–083517–11. Bibcode:2007JAP...102h3517L. doi:10.1063/1.2798598.
  48. Elers, K.-E.; Saanila, V.; Soininen, P.J.; Li, W.-M.; Kostamo, J.T.; Haukka, S.; Juhanoja, J.; Besling, W.F.A. (2002). "Diffusion Barrier Deposition on a Copper Surface by Atomic Layer Deposition". Chemical Vapor Deposition. 8 (4): 149. doi:10.1002/1521-3862(20020704)8:4<149::AID-CVDE149>3.0.CO;2-F.
  49. Waechtler, T.; et al. (2011). "ALD-grown seed layers for electrochemical copper deposition integrated with different diffusion barrier systems" (PDF). Microelectron. Eng. 88 (5): 684–689. doi:10.1016/j.mee.2010.07.004.
  50. Magnetic Recording Theory. stanford.edu
  51. Leskelä, Markku (2010). "Industrial Applications of Atomic Layer Deposition (ALD)". 10th MIICS Conference. Archived from the original on 30 May 2012.
  52. Matas, Brian; de Suberbasaux, Christian (1997). "Ch. 7. DRAM Technology" (PDF). Memory, 1997: Complete Coverage of DRAM, Sram, EPROM, and Flash Memory IC's. Scottsdale, AZ, USA: Integrated Circuit Engineering Corp. ISBN   978-1877750595.
  53. Gutsche, M. (2003). "Atomic Layer Deposition for Advanced DRAM Applications". Future Fab Intl. 14. Archived from the original on 8 September 2005.
  54. van Delft, J A; Garcia-Alonso, D; Kessels, W M M (11 July 2012). "Atomic layer deposition for photovoltaics: applications and prospects for solar cell manufacturing". Semiconductor Science and Technology. 27 (7): 074002. Bibcode:2012SeScT..27g4002V. doi:10.1088/0268-1242/27/7/074002. ISSN   0268-1242. S2CID   55670928.
  55. Schmidt, J.; Merkle, A.; Brendel, R.; Hoex, B.; de Sanden, M. C. M. van; Kessels, W. M. M. (September 2008). "Surface passivation of high-efficiency silicon solar cells by atomic-layer-deposited Al 2 O 3". Progress in Photovoltaics: Research and Applications. 16 (6): 461–466. doi:10.1002/pip.823. S2CID   97771156.
  56. Seo, Seongrok; Jeong, Seonghwa; Park, Hyoungmin; Shin, Hyunjung; Park, Nam-Gyu (2019). "Atomic layer deposition for efficient and stable perovskite solar cells". Chemical Communications. 55 (17): 2403–2416. doi:10.1039/C8CC09578G. ISSN   1359-7345. PMID   30719523. S2CID   73448015.
  57. MacFarlane, D. L; M. P. Christensen; A. E. Nagdi; G. A. Evans; L. R. Hunt; N. Huntoon; J. Kim; T. W. Kim; J. Kirk; T. LaFave Jr; K. Liu; V. Ramakrishna; M. Dabkowski; N. Sultana (2012). "Experiment and Theory of an Active Optical Filter". IEEE J. Of Quantum Electronics. 48 (3): 307–317. Bibcode:2012IJQE...48..307M. doi:10.1109/JQE.2011.2174615. S2CID   46191619.
  58. Chen, Hong; Yuan, Lin; Song, Wei; Wu, Shongkui; Li, Dan (2008). "Biocompatible Polymer Materials: Role of Protein-surface Interactions". Progress in Polymer Science. 33 (11): 1059–1087. doi:10.1016/j.progpolymsci.2008.07.006.
  59. Purniawan, A.; French, P.J.; Pandraud, G.; Sarro, P.M. (2010). "TiO2 ALD nanolayer as evanescent waveguide for biomedical sensor applications". Procedia Engineering. 5: 1131–1135. doi: 10.1016/j.proeng.2010.09.310 .
  60. Pang, Changhyun; Lee, Chanseok; Suh, Kahp-Yang (2013). "Recent Advances in Flexible Sensors for Wearable and Implantable Devices". Journal of Applied Polymer Science. 130 (3): 1429–1441. doi:10.1002/app.39461.
  61. Adiga, Shashishekar P.; Curtiss, Larry A.; Elam, Jeffrey W.; Pellin, Michael J.; Shih, Chun-Che; Shih, Chun-Ming; Lin, Shing-Jong; Su, Yea-Yang; Gittard, Shaun D.; Zhang, Junping; Narayan, Roger J. (2008). "Nanoporous Materials for Biomedical Devices". Biological Materials Science. 60 (3): 26–32. Bibcode:2008JOM....60c..26A. doi:10.1007/s11837-008-0028-9. S2CID   135635044.
  62. Lei Wenwen; Li Xingcun; Chen Qiang; Wang Zhengduo (February 2012). "Plasma-Assisted ALD of an Al2O3 Permeation Barrier Layer on Plastic". Plasma Science and Technology. 14 (2): 129. Bibcode:2012PlST...14..129L. doi:10.1088/1009-0630/14/2/09. ISSN   1009-0630. S2CID   250740101.
  63. Park, Sang-Hee Ko; Oh, Jiyoung; Hwang, Chi-Sun; Yang, Yong Suk; Lee, Jeong-Ik; Chu, Hye Yong (January 2004). "Ultra thin film encapsulation of OLED on plastic substrate". Journal of Information Display. 5 (3): 30–34. doi: 10.1080/15980316.2004.9651953 . ISSN   1598-0316. S2CID   135967211.
  64. Park, Sang-Hee Ko; Oh, Jiyoung; Hwang, Chi-Sun; Lee, Jeong-Ik; Yang, Yong Suk; Chu, Hye Yong; Kang, Kwang-Yong (14 October 2005). "Ultra Thin Film Encapsulation of OLED on Plastic Substrate". ETRI Journal. 27 (5): 545–550. doi:10.4218/etrij.05.0905.0006. ISSN   1225-6463. S2CID   58156645.
  65. Heikkinen, Ismo T.S.; Marin, Giovanni; Bihari, Nupur; Ekstrum, Craig; Mayville, Pierce J.; Fei, Yuhuan; Hu, Yun Hang; Karppinen, Maarit; Savin, Hele; Pearce, Joshua M. (March 2020). "Atomic layer deposited aluminum oxide mitigates outgassing from fused filament fabrication–based 3-D printed components". Surface and Coatings Technology. 386: 125459. doi:10.1016/j.surfcoat.2020.125459. S2CID   212841294.
  66. Hirvikorpi, Terhi; Laine, Risto; Vähä-Nissi, Mika; Kilpi, Väinö; Salo, Erkki; Li, Wei-Min; Lindfors, Sven; Vartiainen, Jari; Kenttä, Eija; Nikkola, Juha; Harlin, Ali (January 2014). "Barrier properties of plastic films coated with an Al2O3 layer by roll-to-toll atomic layer deposition". Thin Solid Films. 550: 164–169. Bibcode:2014TSF...550..164H. doi:10.1016/j.tsf.2013.10.148.
  67. Yasaka, Miho (2010). "X-ray thin-film measurement techniques" (PDF). The Rigaku Journal. 26 (2): 1–9.
  68. 1 2 Langereis, E.; Heil, S B S.; Knoops, H C M.; Keuning, W.; Van De Sanden, M C M.; Kessels, W M M. (2009). "In situ spectroscopic ellipsometry as a versatile tool for studying atomic layer deposition". Journal of Physics D: Applied Physics. 42 (7): 1–19. doi:10.1088/0022-3727/42/7/073001. S2CID   97244909.
  69. 1 2 3 Leskelä, M.; Ritala, M. (2003). "Atomic layer deposition chemistry: Recent developments and future challenges" (PDF). Angewandte Chemie. 42 (45): 5548–54. doi:10.1002/anie.200301652. PMID   14639717. Archived from the original (PDF) on 14 July 2014.
  70. "Molecular Beam Epitaxy & Atomic Layer Deposition Systems". SVT Associates.