32 nm process

Last updated

The "32 nm" node is the step following the "45 nm" process in CMOS (MOSFET) semiconductor device fabrication. "32-nanometre" refers to the average half-pitch (i.e., half the distance between identical features) of a memory cell at this technology level.

Contents

Toshiba produced commercial 32  GiB NAND flash memory chips with the "32 nm" process in 2009. [1] Intel and AMD produced commercial microchips using the "32 nm" process in the early 2010s. IBM and the Common Platform also developed a "32 nm" high-κ metal gate process. [2] Intel began selling its first "32 nm" processors using the Westmere architecture on 7 January 2010.

Since at least 1997, "process nodes" have been named purely on a marketing basis, and have no relation to the dimensions on the integrated circuit; [3] neither gate length, nor metal pitch, nor gate pitch on a "32nm" device is thirty-two nanometers. [4] [5] [6] [7]

The "28 nm" node is an intermediate half-node die shrink based on the "32 nm" process.

The "32 nm" process was superseded by commercial "22 nm" technology in 2012. [8] [9]

Technology demos

Prototypes using "32 nm" technology first emerged in the mid-2000s. In 2004, IBM demonstrated a 0.143 μm2 SRAM cell with a poly gate pitch of 135 nm, produced using electron-beam lithography and photolithography on the same layer. It was observed that the cell's sensitivity to input voltage fluctuations degraded significantly at such a small scale. [10] In October 2006, the Interuniversity Microelectronics Centre (IMEC) demonstrated a 32 nm flash patterning capability based on double patterning and immersion lithography. [11] The necessity of introducing double patterning and hyper-NA tools to reduce memory cell area offset some of the cost advantages of moving to this node from the 45 nm node. [12] TSMC similarly used double patterning combined with immersion lithography to produce a "32 nm" node 0.183 μm2 six-transistor SRAM cell in 2005. [13]

Intel Corporation revealed its first "32 nm" test chips to the public on 18 September 2007 at the Intel Developer Forum. The test chips had a cell size of 0.182 μm2, used a second-generation high-κ gate dielectric and metal gate, and contained almost two billion transistors. 193 nm immersion lithography was used for the critical layers, while 193 nm or 248 nm dry lithography was used on less critical layers. The critical pitch was 112.5 nm. [14]

In January 2011, Samsung completed development of the industry's first DDR4 SDRAM module using a process technology with a size between 30 nm and 39 nm. The module could reportedly achieve data transfer rates of 2.133 Gbit/s at 1.2V, compared to 1.35V and 1.5V DDR3 DRAM at an equivalent "30 nm-class" process technology with speeds of up to 1.6 Gbit/s. The module used pseudo open drain (POD) technology, specially adapted to allow DDR4 SDRAM to consume just half the current of DDR3 when reading and writing data. [15]

Processors using "32 nm" technology

Intel's Core i3 and i5 processors, released in January 2010, were among the first mass-produced processors to use "32 nm" technology. [16] Intel's second-generation Core processors, codenamed Sandy Bridge, also used the "32 nm" manufacturing process. Intel's 6-core processor, codenamed Gulftown and built on the Westmere architecture, was released on 16 March 2010 as the Core i7 980x Extreme Edition, retailing for approximately US$1,000. [17] Intel's lower-end 6-core, the i7-970, was released in late July 2010, priced at approximately US$900. Intel's "32nm" process has a transistor density of 7.11 million transistors per square milimeter (MTr/mm2). [18]

AMD also released "32 nm" SOI processors in the early 2010s. AMD's FX Series processors, codenamed Zambezi and based on AMD's Bulldozer architecture, were released in October 2011. The technology utilised a "32 nm" SOI process, two CPU cores per module, and up to four modules, ranging from a quad-core design costing approximately US$130 to a $280 eight-core design.

In September 2011, Ambarella Inc. announced the availability of the "32 nm"-based A7L system-on-a-chip circuit for digital still cameras, providing 1080p60 high-definition video capabilities. [19]

Successor node

28 nm & 22 nm

The successor to "32 nm" technology was the "22 nm" node, per the International Technology Roadmap for Semiconductors. Intel began mass production of "22 nm" semiconductors in late 2011, [20] and announced the release of its first commercial "22 nm" devices in April 2012. [8] [21] TSMC bypassed "32 nm", jumping from "40 nm" in 2008 to "28 nm" in 2011. [22]

Related Research Articles

<span class="mw-page-title-main">Semiconductor device fabrication</span> Manufacturing process used to create integrated circuits

Semiconductor device fabrication is the process used to manufacture semiconductor devices, typically integrated circuits (ICs) such as computer processors, microcontrollers, and memory chips that are present in everyday electronic devices. It is a multiple-step photolithographic and physio-chemical process during which electronic circuits are gradually created on a wafer, typically made of pure single-crystal semiconducting material. Silicon is almost always used, but various compound semiconductors are used for specialized applications.

<span class="mw-page-title-main">Moore's law</span> Observation on the growth of integrated circuit capacity

Moore's law is the observation that the number of transistors in an integrated circuit (IC) doubles about every two years. Moore's law is an observation and projection of a historical trend. Rather than a law of physics, it is an empirical relationship linked to gains from experience in production.

The 90 nm process refers to the technology used in semiconductor manufacturing to create integrated circuits with a minimum feature size of 90 nanometers. It was an advancement over the previous 130 nm process. Eventually, it was succeeded by smaller process nodes, such as the 65 nm, 45 nm, and 32 nm processes.

The 65 nm process is an advanced lithographic node used in volume CMOS (MOSFET) semiconductor fabrication. Printed linewidths can reach as low as 25 nm on a nominally 65 nm process, while the pitch between two lines may be greater than 130 nm.

The transistor count is the number of transistors in an electronic device. It is the most common measure of integrated circuit complexity. The rate at which MOS transistor counts have increased generally follows Moore's law, which observes that transistor count doubles approximately every two years. However, being directly proportional to the area of a chip, transistor count does not represent how advanced the corresponding manufacturing technology is: a better indication of this is transistor density.

The "22 nm" node is the process step following 32 nm in CMOS MOSFET semiconductor device fabrication. The typical half-pitch for a memory cell using the process is around 22 nm. It was first demonstrated by semiconductor companies for use in RAM memory in 2008. In 2010, Toshiba began shipping 24 nm flash memory chips, and Samsung Electronics began mass-producing 20 nm flash memory chips. The first consumer-level CPU deliveries using a 22 nm process started in April 2012 with the Intel Ivy Bridge processors.

The 180 nm process is a MOSFET (CMOS) semiconductor process technology that was commercialized around the 1998–2000 timeframe by leading semiconductor companies, starting with TSMC and Fujitsu, then followed by Sony, Toshiba, Intel, AMD, Texas Instruments and IBM.

The "14 nanometer process" refers to a marketing term for the MOSFET technology node that is the successor to the "22 nm" node. The "14 nm" was so named by the International Technology Roadmap for Semiconductors (ITRS). Until about 2011, the node following "22 nm" was expected to be "16 nm". All "14 nm" nodes use FinFET technology, a type of multi-gate MOSFET technology that is a non-planar evolution of planar silicon CMOS technology.

<span class="mw-page-title-main">Multigate device</span> MOS field-effect transistor with more than one gate

A multigate device, multi-gate MOSFET or multi-gate field-effect transistor (MuGFET) refers to a metal–oxide–semiconductor field-effect transistor (MOSFET) that has more than one gate on a single transistor. The multiple gates may be controlled by a single gate electrode, wherein the multiple gate surfaces act electrically as a single gate, or by independent gate electrodes. A multigate device employing independent gate electrodes is sometimes called a multiple-independent-gate field-effect transistor (MIGFET). The most widely used multi-gate devices are the FinFET and the GAAFET, which are non-planar transistors, or 3D transistors.

The term die shrink refers to the scaling of metal–oxide–semiconductor (MOS) devices. The act of shrinking a die creates a somewhat identical circuit using a more advanced fabrication process, usually involving an advance of lithographic nodes. This reduces overall costs for a chip company, as the absence of major architectural changes to the processor lowers research and development costs while at the same time allowing more processor dies to be manufactured on the same piece of silicon wafer, resulting in less cost per product sold.

In semiconductor fabrication, the International Technology Roadmap for Semiconductors (ITRS) defines the "10 nanometer process" as the MOSFET technology node following the "14 nm" node.

GlobalFoundries Inc. (GF) is a multinational semiconductor contract manufacturing and design company incorporated in the Cayman Islands and headquartered in Malta, New York. Created by the divestiture of the manufacturing arm of AMD, the company was privately owned by Mubadala Investment Company, a sovereign wealth fund of the United Arab Emirates, until an initial public offering (IPO) in October 2021.

Per the International Technology Roadmap for Semiconductors, the 45 nm process is a MOSFET technology node referring to the average half-pitch of a memory cell manufactured at around the 2007–2008 time frame.

In semiconductor manufacturing, the International Roadmap for Devices and Systems defines the "5 nm" process as the MOSFET technology node following the "7 nm" node. In 2020, Samsung and TSMC entered volume production of "5 nm" chips, manufactured for companies including Apple, Marvell, Huawei and Qualcomm.

In semiconductor manufacturing, the "7 nm" process is a marketing term for the MOSFET technology node following the "10 nm" node, defined by the International Technology Roadmap for Semiconductors. It is based on FinFET technology, a type of multi-gate MOSFET technology.

<span class="mw-page-title-main">Gary Patton</span> American technologist and business executive

Dr. Gary Patton is an American technologist and business executive. He is currently the Corporate Vice President and General Manager of Design Enablement and Components Research in the Technology Development Group at Intel. He has spent most of his career in IBM, starting in IBM's Research Division and holding management and executive positions in IBM's Microelectronics Division in Technology Development, Design Enablement, Manufacturing, and Business Line Management.

In semiconductor manufacturing, the "3 nm" process is the next die shrink after the "5 nm" MOSFET technology node. South Korean chipmaker Samsung started shipping its "3 nm" gate all around (GAA) process, named "3GAA", in mid-2022. On 29 December 2022, Taiwanese chip manufacturer TSMC announced that volume production using its "3 nm" semiconductor node ("N3") was under way with good yields. An enhanced "3 nm" chip process called "N3E" may have started production in 2023. American manufacturer Intel planned to start 3 nm production in 2023.

In semiconductor manufacturing, the "2 nm process" is the next MOSFET die shrink after the "3 nm" process node.

The "28 nm" lithography process is a half-node semiconductor manufacturing process based on a die shrink of the "32 nm" lithography process. It appeared in production in 2010.

References

  1. "Toshiba Makes Major Advances in NAND Flash Memory with 3-bit-per-cell 32nm generation and with 4-bit-per-cell 43nm technology". Toshiba . 11 February 2009. Retrieved 21 June 2019.
  2. Intel (Architecture & Silicon). Gate Dielectric Scaling for CMOS: from SiO2/PolySi to High-K/Metal-Gate. White Paper. Intel.com. Retrieved 18 June 2013.
  3. "No More Nanometers – EEJournal". 23 July 2020.
  4. Shukla, Priyank. "A Brief History of Process Node Evolution". design-reuse.com. Retrieved 9 July 2019.
  5. Hruska, Joel. "14nm, 7nm, 5nm: How low can CMOS go? It depends if you ask the engineers or the economists..." ExtremeTech .
  6. "Exclusive: Is Intel Really Starting To Lose Its Process Lead? 7nm Node Slated For Release in 2022". wccftech.com. 10 September 2016.
  7. "Life at 10nm. (Or is it 7nm?) And 3nm - Views on Advanced Silicon Platforms". eejournal.com. 12 March 2018.
  8. 1 2 "Report: Intel Scheduling 22 nm Ivy Bridge for April 2012". Tom'sHardware.com. 26 November 2011. Retrieved 5 December 2011.
  9. "Intel's Ivy Bridge chips launch using '3D transistors'". BBC. 23 April 2012. Retrieved 18 June 2013.
  10. D. M. Fried et al., IEDM 2004.
  11. "IMEC demonstrates feasibility of double patterning immersion litho for 32nm node". PhysOrg.com. 18 October 2006. Retrieved 17 December 2011.
  12. Mark LaPedus (23 February 2007). "IBM sees immersion at 22nm, pushes out EUV". EE Times. Retrieved 11 November 2011.
  13. H-Y. Chen et al., Symp. on VLSI Tech. 2005.
  14. F. T. Chen (2002). Proc. SPIE. Vol. 4889, no. 1313.
  15. Peter Clarke (4 January 2011). "Samsung trials DDR4 DRAM module". EE Times. Retrieved 11 November 2011.
  16. "Intel Debuts 32-NM Westmere Desktop Processors" Archived 2010-03-17 at the Wayback Machine . InformationWeek. 7 January 2010. Retrieved 17 December 2011.
  17. Sal Cangeloso (4 February 2010). "Intel's 6-core 32nm processors arriving soon". Geek.com. Archived from the original on 30 March 2012. Retrieved 11 November 2011.
  18. "Intel's 10nm Cannon Lake and Core i3-8121U Deep Dive Review".
  19. "Ambarella A7L Enables the Next Generation of Digital Still Cameras with 1080p60 Fluid Motion Video". Ambarella.com. 26 September 2011. Archived from the original on 10 November 2011. Retrieved 11 November 2011.
  20. "Intel's CEO Discusses Q3 2011 Results - Earnings Call Transcript". Seeking Alpha. 18 October 2011. Retrieved 14 February 2013.
  21. "Intel beats analysts' first quarter forecasts". BBC. 17 April 2012. Retrieved 18 June 2013.
  22. "28nm Technology". TSMC . Retrieved 30 June 2019.

Further reading

Preceded by
45 nm
MOSFET manufacturing processes (CMOS)Succeeded by
22 nm