Accellera

Last updated
Accellera
Accellera logo.svg
Formation2000 (2000)
Purpose Standards
Official language
English
Website www.accellera.org

Accellera Systems Initiative (Accellera) is a standards organization that supports a mix of user and vendor standards and open interfaces development in the area of electronic design automation (EDA) and integrated circuit (IC) design and manufacturing. It is less constrained than the Institute of Electrical and Electronics Engineers (IEEE) and is therefore the starting place for many standards. Once mature and adopted by the broader community, the standards are usually transferred to the IEEE. [1]

Contents

History

In 2000, Accellera was founded from the merger of Open Verilog International (OVI) and VHDL International, the developers of Verilog and VHDL respectively. Both were originally formed nine years earlier in 1991.

In June 2009, a merger was announced between Accellera and The SPIRIT Consortium, another major EDA standards organization focused on IP deployment and reuse. [2] The SPIRIT Consortium obtained SystemRDL from the SystemRDL Alliance [3] and then developed IP-XACT. The merger was completed in April 2010. [4] SPIRIT stood for "Structure for Packaging, Integrating and Re-using IP within Tool-flows".

In December 2011, Accellera and the Open SystemC Initiative (OSCI) approved their merger, adopting the name Accellera Systems Initiative (Accellera) while continuing to develop SystemC. [5] [6]

In October 2013, Accellera acquired the Open Core Protocol (OCP) standard, the intellectual property of the OCP International Partnership (OCP-IP). [7]

The SPIRIT Consortium

TheSPIRIT Consortium was a group of vendors and users of electronic design automation (EDA) tools, defining standards for the exchange of System-on-a-chip (SoC) design information. [8] The standards defined included IP-XACT, an XML schema for vendor-neutral descriptions of design components, and SystemRDL, a language for describing registers in components. [9] SPIRIT stood for "Structure for Packaging, Integrating and Re-using IP within Tool-flows".

In June 2009 it was announced that SPIRIT would merge with Accellera. [10]

SPIRIT membership

There were four levels of membership in the SPIRIT consortium. The Board of Directors (BoD) was the ruling body. [11] Members around the time of the merge were:

Contributing members performed the standardization work and donate time and effort to the production of new specifications. [12]

Reviewing member status was a free membership for companies. These get early access to specifications to facilitate a deep review round of each proposal before it goes public. [13]

Associate member status was similar to a reviewing membership but for academics and other not-for-profit organizations. [14]

Open Core Protocol International Partnership Association

The Open Core Protocol International Partnership Association, Inc. (OCP-IP) was an independent, non-profit semiconductor industry consortium formed to administer the support, promotion and enhancement of the Open Core Protocol (OCP). OCP was the first fully supported, openly licensed, comprehensive, interface socket for semiconductor intellectual property (IP) cores. The mission of OCP-IP was to address problems relating to design, verification, and testing which are common to IP core reuse in "plug and play" system on a chip (SoC) products. This initiative comprehensively fulfills system-level integration requirements by promoting IP core reusability and reducing design time, risk and manufacturing costs for SoC designs. Design teams developing consumer, data processing, telecom (wireless or wired), datacom and mass storage applications can gain significant benefits from the OCP-IP solution.

Accellera membership

Corporate members have a right to be eligible for election to the Board of Directors. Associate member companies have voting rights in all of Accellera's Technical Working Groups. [15]

Standards

The following EDA standards developed by Accellera were ratified by IEEE by 2019: [2]

The following EDA initiatives were developed by Accellera:

See also

Related Research Articles

VHDL

VHDL is a hardware description language used in electronic design automation to describe digital and mixed-signal systems such as field-programmable gate arrays and integrated circuits. VHDL can also be used as a general-purpose parallel programming language.

Verilog, standardized as IEEE 1364, is a hardware description language (HDL) used to model electronic systems. It is most commonly used in the design and verification of digital circuits at the register-transfer level of abstraction. It is also used in the verification of analog circuits and mixed-signal circuits, as well as in the design of genetic circuits. In 2009, the Verilog standard was merged into the SystemVerilog standard, creating IEEE Standard 1800-2009. Since then, Verilog is officially part of the SystemVerilog language. The current version is IEEE standard 1800-2017.

In computer engineering, a hardware description language (HDL) is a specialized computer language used to describe the structure and behavior of electronic circuits, and most commonly, digital logic circuits.

Electronic design automation (EDA), also referred to as electronic computer-aided design (ECAD), is a category of software tools for designing electronic systems such as integrated circuits and printed circuit boards. The tools work together in a design flow that chip designers use to design and analyze entire semiconductor chips. Since a modern semiconductor chip can have billions of components, EDA tools are essential for their design; this article in particular describes EDA specifically with respect to integrated circuits (ICs).

Property Specification Language (PSL) is a temporal logic extending linear temporal logic with a range of operators for both ease of expression and enhancement of expressive power. PSL makes an extensive use of regular expressions and syntactic sugaring. It is widely used in the hardware design and verification industry, where formal verification tools and/or logic simulation tools are used to prove or refute that a given PSL formula holds on a given design.

Silvaco

Silvaco Inc. develops and markets electronic design automation (EDA) and technology CAD (TCAD) software and semiconductor design IP (SIP). The company is headquartered in Santa Clara, California, and has a global presence with offices located in North America, Europe, and throughout Asia. Since its founding in 1984, Silvaco has grown to become a large privately held EDA company. The company has been known by at least two other names: Silvaco International, and Silvaco Data Systems.

SystemC is a set of C++ classes and macros which provide an event-driven simulation interface. These facilities enable a designer to simulate concurrent processes, each described using plain C++ syntax. SystemC processes can communicate in a simulated real-time environment, using signals of all the datatypes offered by C++, some additional ones offered by the SystemC library, as well as user defined. In certain respects, SystemC deliberately mimics the hardware description languages VHDL and Verilog, but is more aptly described as a system-level modeling language.

In electronic design, a semiconductor intellectual property core, IP core, or IP block is a reusable unit of logic, cell, or integrated circuit layout design that is the intellectual property of one party. IP cores can be licensed to another party or owned and used by a single party. The term comes from the licensing of the patent or source code copyright that exists in the design. Designers of application-specific integrated circuits (ASIC) and systems of field-programmable gate array (FPGA) logic can use IP cores as building blocks.

SystemVerilog hardware description and hardware verification language

SystemVerilog, standardized as IEEE 1800, is a hardware description and hardware verification language used to model, design, simulate, test and implement electronic systems. SystemVerilog is based on Verilog and some extensions, and since 2008 Verilog is now part of the same IEEE standard. It is commonly used in the semiconductor and electronic design industry as an evolution of Verilog.

Verilog-AMS is a derivative of the Verilog hardware description language that includes analog and mixed-signal extensions (AMS) in order to define the behavior of analog and mixed-signal systems. It extends the event-based simulator loops of Verilog/SystemVerilog/VHDL, by a continuous-time simulator, which solves the differential equations in analog-domain. Both domains are coupled: analog events can trigger digital actions and vice versa.

OpenVera was a hardware verification language developed by System Science and acquired by Synopsys. OpenVera was an interoperable, open hardware verification language for testbench creation. The OpenVera language was used as the basis for the advanced verification features in the IEEE Std. 1800 SystemVerilog standard, for the benefit of the entire verification community including companies in the semiconductor, systems, IP and EDA industries along with verification services.

Aldec, Inc. is a privately owned electronic design automation company based in Henderson, Nevada that provides software and hardware used in creation and verification of digital designs targeting FPGA and ASIC technologies.

IP-XACT is an XML format that defines and describes individual, re-usable electronic circuit designs to facilitate their use in creating integrated circuits. IP-XACT was created by the SPIRIT Consortium as a standard to enable automated configuration and integration through tools.

The Design Automation Standards Committee (DASC) is a subgroup of interested individuals members of the Institute of Electrical and Electronics Engineers (IEEE) Computer Society and Standards Association. It oversees IEEE Standards that are related to computer-aided design. It is part of the IEEE Computer Society.

The Rosetta system-level specification language is a design language for complex, heterogeneous systems. Specific language design objectives include:

High-level synthesis (HLS), sometimes referred to as C synthesis, electronic system-level (ESL) synthesis, algorithmic synthesis, or behavioral synthesis, is an automated design process that interprets an algorithmic description of a desired behavior and creates digital hardware that implements that behavior.

Duolog Technologies was an Irish-based company that developed electronic design automation tools that assist with the integration of complex System-on-Chip (SoC), ASIC and FPGA designs. In 2014, Duolog was acquired by ARM Holdings plc, a multinational semiconductor and software design company headquartered in Cambridge, United Kingdom.

High-level verification (HLV), or electronic system-level (ESL) verification, is the task to verify ESL designs at high abstraction level, i.e., it is the task to verify a model that represents hardware above register-transfer level (RTL) abstract level. For high-level synthesis, HLV is to HLS as functional verification is to logic synthesis.

The SystemRDL language, supported by the SPIRIT Consortium, was specifically designed to describe and implement a wide variety of control status registers. Using SystemRDL, developers can automatically generate and synchronize register views for specification, hardware design, software development, verification, and documentation.

The Universal Verification Methodology (UVM) is a standardized methodology for verifying integrated circuit designs. UVM is derived mainly from the OVM which was, to a large part, based on the eRM for the e Verification Language developed by Verisity Design in 2001. The UVM class library brings much automation to the SystemVerilog language such as sequences and data automation features etc., and unlike the previous methodologies developed independently by the simulator vendors, is an Accellera standard with support from multiple vendors: Aldec, Cadence, Mentor Graphics, and Synopsys.

References

  1. Accelera website
  2. 1 2 "EDA Standards Organizations Accellera and The SPIRIT Consortium Announce Plans to Merge".
  3. "SystemRDL Alliance".
  4. "Standards Organizations Accellera and The SPIRIT Consortium Complete Merger". 14 Apr 2010.
  5. "Accellera and Open SystemC Initiative (OSCI) Approve Merger, Unite to Form Accellera Systems Initiative".
  6. "Accellera and Open SystemC Initiative (OSCI) Approve Merger, Unite to Form Accellera Systems Initiative".
  7. "Accellera Systems Initiative Acquires Open Core Protocol Standard and Infrastructure to Strengthen Interoperability in Electronic Standards Development". 15 Oct 2013.
  8. Schemas of The SPIRIT Consortium
  9. Register description format gets 'Spirit' of standardization, Richard Goering, EE Times (05/21/2007 6:00 AM EDT)
  10. "EDA Standards Organizations Accellera and The SPIRIT Consortium Announce Plans to Merge", press release, Accellera. June 11, 2009
  11. Board of Directors
  12. Contributing Members
  13. Associate Members
  14. Reviewing Members
  15. Members