Zen 3

Last updated

AMD Zen 3
Zen-3-logo-transparent-2020-inverted.svg
General information
LaunchedNovember 5, 2020 (November 5, 2020)
Designed by AMD
Common manufacturer(s)
Cache
L1 cache 64 KB (per core):
  • 32 KB instructions
  • 32 KB data
L2 cache512 KB (per core)
L3 cache
  • 32 MB (per CCD)
  • 96 MB (per CCD with 3D V-Cache)
  • 16 MB (in APUs)
Architecture and classification
Technology node
Instruction set AMD64 (x86_64)
Physical specifications
Cores
    • Desktop: 4 to 16
    • Workstation: 16 to 64
    • Server: 16 to 64
Package(s)
  • Package FP6
Socket(s)
Products, models, variants
Product code name(s)
  • Desktop
    • Vermeer (w/o iGPU)
    • Cézanne (APU)
    • Chagall

  • Thin & Light Mobile
    • Cézanne
    • Barcelo
    • Barcelo-R

  • High-End Mobile
    Cézanne
  • Server
    • Milan
    • Milan-X

History
Predecessor(s) Zen 2
Successor(s)
Support status
Supported

Zen 3 is the codename for a CPU microarchitecture by AMD, released on November 5, 2020. [1] [2] It is the successor to Zen 2 and uses TSMC's 7 nm process for the chiplets and GlobalFoundries's 14 nm process for the I/O die on the server chips and 12 nm for desktop chips. [3] Zen 3 powers Ryzen 5000 mainstream desktop processors (codenamed "Vermeer") and Epyc server processors (codenamed "Milan"). [4] [5] Zen 3 is supported on motherboards with 500 series chipsets; 400 series boards also saw support on select B450 / X470 motherboards with certain BIOSes. [6] Zen 3 is the last microarchitecture before AMD switched to DDR5 memory and new sockets, which are AM5 for the desktop "Ryzen" chips alongside SP5 and SP6 for the EPYC server platform and sTRX8. [2] According to AMD, Zen 3 has a 19% higher instructions per cycle (IPC) on average than Zen 2.

Contents

On April 1, 2022, AMD released the new Ryzen 6000 series for the laptop, using an improved Zen 3+ architecture. [7] On April 20, 2022, AMD also released the Ryzen 7 5800X3D desktop processor, which increases the single threading performance by another 15% in gaming by using, for the first time in a PC product, 3D vertically stacked L3 cache. [8]

Features

Zen 3 is a significant incremental improvement over its predecessors, with an IPC increase of 19%, [9] and being capable of reaching higher clock speeds.

Like Zen 2, Zen 3 is composed of up to 2 core complex dies (CCD) along with a separate IO die containing the I/O components. A Zen 3 CCD is composed of a single core complex (CCX) containing 8 CPU cores and 32 MB of shared L3 cache, this is in contrast to Zen 2 where each CCD is composed of 2 CCX, each containing 4 cores paired with 16 MB of L3 cache. The new configuration allows all 8 cores of the CCX to directly communicate with each other and the L3 Cache instead of having to use the IO die through the Infinity Fabric. [9]

Zen 3 (along with AMD's RDNA2 GPUs) also implemented Resizable BAR, an optional feature introduced in PCIe 2.0, that was branded as Smart Access Memory (SAM). This technology allows CPU to directly access all of compatible video card's VRAM. [10] Intel and Nvidia have since implemented this feature as well. [11]

In Zen 3, a single 32MB L3 cache pool is shared among all 8 cores in a chiplet, vs. Zen 2's two 16MB pools each shared among 4 cores in a core complex, of which there were two per chiplet. This new arrangement improves the cache hit rate as well as performance in situations that require cache data to be exchanged among cores, but increases cache latency from 39 cycles in Zen 2 to 46 clock cycles and halves per-core cache bandwidth, although both problems are partially mitigated by higher clock speeds. Total cache bandwidth on all 8 cores combined remains the same due to power consumption concerns. L2 cache capacity and latency remain the same at 512KB and 12 cycles. All cache read and write operations are done at 32 bytes per cycle. [12]

On April 20, 2022, AMD released the R7 5800X3D. It features, for the first time in a desktop PC product, 3D-stacked vertical L3 cache. Its extra 64 MB on top of the usual 32 MB increases the total amount to 96 MB and brings significant performance improvements for gaming, rivalling contemporary high-end consumer processors while being much more power efficient. [8] It would later be followed by the 5600X3D and 5700X3D for lower-end market segments, and succeeded by the 7000X3D family of Zen 4 processors.

Improvements

CCD layouts comparison for Zen 2 and Zen 3 Zen 2 vs Zen 3 CCD Layout.jpg
CCD layouts comparison for Zen 2 and Zen 3

Zen 3 has made the following improvements over Zen 2: [12] [13]

Feature tables

CPUs

APUs

APU features table

Products

AMD Ryzen 7 5800X AMD Ryzen 7 5800X 19339.jpg
AMD Ryzen 7 5800X

On October 8, 2020, AMD announced four Zen 3-based desktop Ryzen processors, consisting of one Ryzen 5, one Ryzen 7, and two Ryzen 9 CPUs and featuring between 6 and 16 cores. [1]

Desktop CPUs

The Ryzen 5000 series desktop CPUs are codenamed Vermeer. The models in the second table are based on Cezanne APUs with the integrated GPU disabled. Meanwhile the Ryzen Threadripper Pro 5000 series were codenamed Chagall.

Common features of Ryzen 5000 desktop CPUs:

Branding and model Cores
(threads)
Thermal
solution
Clock rate (GHz) L3 cache
(total)
TDP Chiplets Core
config [lower-roman 1]
Release
date
MSRP
BaseBoost
Ryzen 9 5950X 16 (32)3.44.964 MB105 W2 × CCD
1 × I/OD
2 × 8Nov 5, 2020US $799
5900X 12 (24)3.74.82 × 6US $549
5900 3.04.765 WJan 12, 2021OEM
PRO 5945 Sep 2022 [14]
Ryzen 7 5800X3D 8 (16)3.44.596 MB105 W1 × CCD
1 × I/OD
1 × 8Apr 20, 2022US $449
5800X 3.84.732 MBNov 5, 2020
5800 3.44.665 WJan 12, 2021OEM
5700X3D 3.04.196 MB105 WJan 31, 2024 [15] US $249
5700X 3.44.632 MB65 WApr 4, 2022US $299
PRO 5845 Sep 2022OEM
Ryzen 5 5600X3D 6 (12)3.34.496 MB105 W1 × 6Jul 7, 2023
US Only [16]
US $229 [17]
5600X Wraith Stealth 3.74.632 MB65 WNov 5, 2020US $299
5600 3.54.4Apr 4, 2022US $199
PRO 5645 3.74.6Sep 2022OEM
  1. Core Complexes (CCX) × cores per CCX

5100, 5500, and 5700 have no ECC support like non-Pro Ryzen 5000 Desktop APUs.

Common features of Ryzen 5000 (Cezanne) desktop CPUs:

Branding and model Cores
(threads)
Thermal
solution
Clock rate (GHz) L3 cache
(total)
TDP Core
config [lower-roman 1]
Release
date
MSRP
(USD)
BaseBoost
Ryzen 7 5700 [18] 8 (16) Wraith Stealth 3.74.616 MB65 W1 × 8Apr 4, 2022 (OEM),
Dec 21, 2023 (retail)
$179
Ryzen 5 5500 6 (12)3.64.21 × 6Apr 4, 2022$159
Ryzen 35100 [19] [20] [21] 4 (8)3.88 MB1 × 42023OEM
  1. Core Complexes (CCX) × cores per CCX

Common features of Ryzen 5000 workstation CPUs:

Branding and Model Cores
(threads)
Clock rate (GHz) L3 cache
(total)
TDP Chiplets Core
config [lower-roman 1]
Release
date
MSRP
BaseBoost
Ryzen
Threadripper
PRO
5995WX 64 (128)2.74.5256 MB280 W8 × CCD
1 × I/OD
8 × 8Mar 8, 2022
(OEM) /
?
(retail)
OEM /
US $6500
5975WX 32 (64)3.6128 MB4 × CCD
1 × I/OD
4 × 8Mar 8, 2022
(OEM) /
?
(retail)
OEM /
US $3300
5965WX 24 (48)3.84 × 6Mar 8, 2022
(OEM) /
?
(retail)
OEM /
US $2400
5955WX 16 (32)4.064 MB2 × CCD
1 × I/OD
2 × 8Mar 8, 2022OEM
5945WX 12 (24)4.12 × 6
  1. Core Complexes (CCX) × cores per CCX

Desktop APUs

Cezanne

Common features of Ryzen 5000 desktop APUs:

  • Socket: AM4.
  • All the CPUs support DDR4-3200 in dual-channel mode.
  • L1 cache: 64 KB (32 KB data + 32 KB instruction) per core.
  • L2 cache: 512 KB per core.
  • All the CPUs support 24 PCIe 3.0 lanes. 4 of the lanes are reserved as link to the chipset.
  • Includes integrated GCN 5th generation GPU.
  • Fabrication process: TSMC 7FF.
Branding and model CPU GPU [lower-alpha 1] Thermal
solution
TDP Release
date
MSRP
Cores
(threads)
Clock rate (GHz) L3 cache
(total)
Core
config [lower-roman 1]
Clock
(MHz)
Config [lower-roman 2] Processing
power [lower-roman 3]
(GFLOPS)
BaseBoost
Ryzen 7 5700G [lower-alpha 2] 8 (16)3.84.616 MB1 × 82000512:32:8
8 CU
2048 Wraith Stealth 65 WApr 13, 2021 (OEM),
Aug 5, 2021 (retail)
US $359
5700GE [lower-alpha 2] 3.235 WApr 13, 2021OEM
Ryzen 5 5600GT 6 (12)3.61 × 61900448:28:8
7 CU
1702.465 WJan 31, 2024 [22] US $140
5600G [lower-alpha 2] 3.94.4Apr 13, 2021 (OEM),
Aug 5, 2021 (retail)
US $259
5600GE [lower-alpha 2] 3.435 WApr 13, 2021OEM
5500GT 3.665 WJan 31, 2024 [22] US $125
Ryzen 3 5300G [lower-alpha 2] 4 (8)4.04.28 MB1 × 41700384:24:8
6 CU
1305.6OEMApr 13, 2021OEM
5300GE [lower-alpha 2] 3.635 W
  1. Core Complexes (CCX) × cores per CCX
  2. Unified shaders  : texture mapping units  : render output units and compute units (CU)
  3. Single precision performance is calculated from the base (or boost) core clock speed based on a FMA operation.
  1. All of the iGPUs are branded as AMD Radeon Graphics.
  2. 1 2 3 4 5 6 Model also available as PRO version as 5350GE, [lower-alpha 3] 5350G, [lower-alpha 4] 5650GE, [lower-alpha 5] 5650G, [lower-alpha 6] 5750GE, [lower-alpha 7] 5750G, [lower-alpha 8] released June 1, 2021. [lower-alpha 9]
  3. "AMD Ryzen 3 PRO 5350GE". AMD.
  4. "AMD Ryzen 3 PRO 5350G". AMD.
  5. "AMD Ryzen 5 PRO 5650GE". AMD.
  6. "AMD Ryzen 5 PRO 5650G". AMD.
  7. "AMD Ryzen 7 PRO 5750GE". AMD.
  8. "AMD Ryzen 7 PRO 5750G". AMD.
  9. btarunr (June 1, 2021). "AMD Announces Ryzen 5000G and PRO 5000G Desktop Processors". TechPowerUp.

Mobile APUs

Cezanne

Branding and Model CPU GPU TDP Release
date
Cores
(Threads)
Clock rate (GHz) L3 cache
(total)
Core
config [lower-roman 1]
ModelClock
(GHz)
Config [lower-roman 2] Processing
power
(GFLOPS) [lower-roman 3]
BaseBoost
Ryzen 95980HX [23] 8 (16)3.34.816 MB1 × 8Radeon
Graphics
[lower-alpha 1]
2.1512:32:8
8 CUs
2150.435–54 WJan 12, 2021
5980HS [24] 3.035 W
5900HX [25] 3.34.635–54 W
5900HS [26] 3.035 W
Ryzen 75800H [27] [28] 3.24.42.0204835–54 W
5800HS [29] 2.835 W
5800U [note 1] [30] 1.910–25 W
Ryzen 55600H [31] [32] 6 (12)3.34.21 × 61.8448:28:8
7 CUs
1612.835–54 W
5600HS [33] 3.035 W
5600U [note 1] [34] 2.310–25 W
5560U [35] 4.08 MB1.6384:24:8
6 CUs
1228.8
Ryzen 35400U [note 1] [36] [37] 4 (8)2.74.11 × 4
  1. All of the iGPUs are branded as AMD Radeon Graphics.
  1. Core Complexes (CCX) × cores per CCX
  2. Unified shaders  : texture mapping units  : render output units and compute units (CU)
  3. Single precision performance is calculated from the base (or boost) core clock speed based on a FMA operation.
  1. 1 2 3 Model also available as PRO version as 5450U, [38] 5650U, [39] 5850U, [40] released on March 16, 2021.

Barceló

Branding and model CPU GPU TDP Release
date
Cores
(Threads)
Clock rate (GHz) L3 cache
(total)
Core
config [lower-roman 1]
ModelClock
(GHz)
Config [lower-roman 2] Processing
power
(GFLOPS) [lower-roman 3]
BaseBoost
Ryzen 75825U [note 1] [note 2] [41] 8 (16)2.04.516 MB1 × 8Radeon
Graphics [lower-alpha 1]
2.0512:32:8
8 CUs
204815 WJan 4, 2022
Ryzen 55625U [note 1] [note 2] [42] 6 (12)2.34.31 × 61.8448:28:8
7 CUs
1612.8
Ryzen 35125C [43] 2 (4)3.08 MB1 × 2 ?192:12:8
3 CU
 ?May 5, 2022
  1. All of the iGPUs are branded as AMD Radeon Graphics.
  1. Core Complexes (CCX) × cores per CCX
  2. Unified shaders  : texture mapping units  : render output units and compute units (CU)
  3. Single precision performance is calculated from the base (or boost) core clock speed based on a FMA operation.
  1. 1 2 Model also available as Pro version as 5475U, [44] 5675U, [45] 5875U, [46] released on April 19, 2022.
  2. 1 2 Model also available as Chromebook optimized version as 5425C, [47] 5625C, [48] 5825C, [49] released on May 5, 2022.

Barceló-R

Common features of Ryzen 7030 notebook APUs:

Branding and Model CPU GPU TDP Release
date
Cores
(threads)
Clock rate (GHz) L3 cache
(total)
Core
config [lower-alpha 1]
ModelClock
(GHz)
Processing
power [lower-alpha 2]
(GFLOPS)
BaseBoost
Ryzen 7(PRO) 7730U 8 (16)2.04.516 MB1 × 8Vega
8 CU
2.0204815 WJanuary 4, 2023
[50]
Ryzen 5(PRO) 7530U 6 (12)1 × 6Vega
7 CU
1792
Ryzen 3(PRO) 7330U 4 (8)2.34.38 MB1 × 4Vega
6 CU
1.81382.4
  1. Core Complexes (CCX) × cores per CCX
  2. Single precision performance is calculated from the base (or boost) core clock speed based on a FMA operation.

Embedded CPUs

ModelRelease
date
Fab CPU Socket PCIe
support
Memory
support
TDP
Cores
(threads)
Clock rate (GHz) Cache
BaseBoost L1 L2 L3
V3C14 [51] [52] September 27, 2022 [53] TSMC
7FF
4 (8)2.33.832 KB inst.
32 KB data
per core
512 KB
per core
8 MBFP7r220
(8+4+4+4)
PCIe 4.0
DDR5-4800
dual-channel
15 W
V3C44 [51] [52] 3.53.845 W
V3C16 [51] [52] 6 (12)2.03.816 MB15 W
V3C18I [51] [52] 8 (16)1.93.815 W
V3C48 [51] [52] 3.33.845 W

    Server CPUs

    The Epyc server line of chips based on Zen 3 is named Milan and is the final generation of chips using the SP3 socket. [5] Epyc Milan was released on March 15, 2021. [54]

    ModelPrice
    (USD)
    Fab Chiplets Cores
    (threads)
    Core
    config [lower-roman 1]
    Clock rate (GHz) Cache Socket
    &
    Scaling
    TDP
    BaseBoost L1 L2 L3
    7773X$8800 TSMC
    7FF
    8 × CCD
    1 × I/OD
    64 (128)8 × 82.203.5032 KB inst.
    32 KB data
    (per core)
    512 KB
    (per core)
    768 MB
    (96 MB per CCX)
    SP3
    (up to) 2P
    280 W
    7763$78902.453.40256 MB
    32 MB per CCX
    SP3
    (up to) 2P
    280 W
    7713$70602.003.675225 W
    7713P$5010SP3
    1P
    7663$636656 (112)8 × 72.003.50SP3
    (up to) 2P
    240 W
    7663P$3139SP3
    1P
    7643$499548 (96)8 × 62.303.60SP3
    (up to) 2P
    225 W
    7643P$2722SP3
    1P
    7573X$559032 (64)8 × 42.803.60768 MB
    (96 MB per CCX)
    SP3
    (up to) 2P
    280 W
    75F3$48602.954.00256 MB
    (32 MB per CCX)
    7543$37612.803.70225 W
    7543P$2730256 MB
    (32 MB per CCX)
    SP3
    1P
    7513$28402.603.65128 MB
    (16 MB per CCX)
    SP3
    (up to) 2P
    200 W
    7453$15704 × CCD
    1 × I/OD
    28 (56)4 × 72.753.4564 MB
    (16 MB per CCX)
    225 W
    7473X$39008 × CCD
    1 × I/OD
    24 (48)8 × 32.803.70768 MB
    (96 MB per CCX)
    240 W
    74F3$29003.204.00256 MB
    (32 MB per CCX)
    7443$20104 × CCD
    1 × I/OD
    4 × 62.854.00128 MB
    (32 MB per CCX)
    200 W
    7443P$1337SP3
    1P
    7413$18252.653.60SP3
    (up to) 2P
    180 W
    7373X$41858 × CCD
    1 × I/OD
    16 (32)8 × 23.053.80768 MB
    (96 MB per CCX)
    240 W
    73F3$35213.504.00256 MB
    (32 MB per CCX)
    7343$15654 × CCD
    1 × I/OD
    4 × 43.203.90128 MB
    (32 MB per CCX)
    190 W
    7313$10833.003.70155 W
    7313P$913SP3
    1P
    7303$6042 × CCD
    1 × I/OD
    2 x 82.403.4064 MB
    (32 MB per CCX)
    SP3
    (up to) 2P
    130 W
    7303P$594SP3
    1P
    72F3$24688 × CCD
    1 × I/OD
    8 (16)8 × 13.704.10256 MB
    (32 MB per CCX)
    SP3
    (up to) 2P
    180 W
    7203$3482 × CCD
    1 × I/OD
    2 x 42.803.4064 MB
    (32 MB per CCX)
    120 W
    7203P$338SP3

    1P

    1. Core Complexes (CCX) × cores per CCX

    Zen 3+

    AMD Zen 3+
    General information
    LaunchedApril 1, 2022;2 years ago (April 1, 2022)
    Designed by AMD
    Common manufacturer(s)
    Cache
    L1 cache 64 KB (per core)
    L2 cache512 KB (per core)
    L3 cacheUp to 16 MB
    Architecture and classification
    Technology node TSMC N6
    Instruction set AMD64 (x86_64)
    Physical specifications
    Cores
    • 4 to 8
    Package(s)
      • Package FP7
      • Package FP7r2
    Product code name(s)
    • Thin & Light Mobile
      • Rembrandt
      • Rembrandt-R

    • High-End Mobile
      • Rembrandt
      • Rembrandt-R

    History
    Predecessor(s)Zen 3
    Successor(s) Zen 4
    Support status
    Supported

    Zen 3+ is the codename for a refresh of the Zen 3 microarchitecture, which focuses on power efficiency improvements. It was released in April 2022 with the Ryzen 6000 series of mobile processors.

    Features and improvements

    Zen 3+ has 50 new or enhanced power management features over Zen 3, and also provides an adaptive power management framework, as well as new deep sleep states. Altogether, this brings improvements to efficiency both during idle, and when under load, with up to 30% performance-per-watt increase over Zen 3, as well as longer battery life. [55] [56]

    IPC is identical to that of Zen 3; the performance improvements of Ryzen 6000 over Ryzen 5000 mobile processors stem from it having a higher efficiency (hence more performance in power-constrained form factors like laptops), as well as the increased clock speeds from being built on the smaller TSMC N6 node. [57]

    The Rembrandt implementation of Zen 3+ also has support for DDR5 and LPDDR5 memory.

    Products

    Rembrandt

    On April 1, 2022, AMD released the Ryzen 6000 series of mobile APUs, codenamed Rembrandt. It introduces PCIe 4.0 and DDR5/LPDDR5 for the first time in an APU for the laptop and also introduced RDNA2 integrated graphics to the PC. It is built on TSMC's 6 nm node. [7]

    Common features of Ryzen 6000 notebook APUs:

    • Socket: FP7, FP7r2.
    • All the CPUs support DDR5-4800 or LPDDR5-6400 in dual-channel mode.
    • L1 cache: 64 KB (32 KB data + 32 KB instruction) per core.
    • L2 cache: 512 KB per core.
    • All the CPUs support 16 PCIe 4.0 lanes.
    • Includes integrated RDNA 2 GPU.
    • Fabrication process: TSMC 6 nm FinFET.
    Branding and model CPU GPU TDP Release
    date
    Cores
    (threads)
    Clock (GHz) L3 cache
    (total)
    Core
    config [lower-roman 1]
    ModelClock
    (GHz)
    Config [lower-roman 2] Processing
    power
    (GFLOPS) [lower-roman 3]
    BaseBoost
    Ryzen 9 6980HX 8 (16)3.35.016 MB1 × 8680M2.4768:48:8
    12 CUs
    3686.445 WJan 4, 2022
    [58]
    6980HS 35 W
    6900HX [lower-alpha 1] 4.945 W
    6900HS [lower-alpha 1] 35 W
    Ryzen 7 6800H [lower-alpha 1] 3.24.72.23379.245 W
    6800HS [lower-alpha 1] 35 W
    6800U [lower-alpha 1] 2.715–28 W
    Ryzen 5 6600H [lower-alpha 1] 6 (12)3.34.51 × 6660M1.9384:24:8
    6 CUs
    1459.245 W
    6600HS [lower-alpha 1] 35 W
    6600U [lower-alpha 1] 2.915–28 W
    1. Core Complexes (CCX) × cores per CCX
    2. Unified shaders  : texture mapping units  : render output units and compute units (CU)
    3. Single precision performance is calculated from the base (or boost) core clock speed based on a FMA operation.

    Rembrandt-R

    Rembrandt-R is the codename for a refresh of Rembrandt codenamed processors, released as the Ryzen 7035 series of mobile APUs in January 2023.

    Common features of Ryzen 7035 notebook APUs:

    • Socket: FP7, FP7r2.
    • All the CPUs support DDR5-4800 or LPDDR5-6400 in dual-channel mode.
    • L1 cache: 64 KB (32 KB data + 32 KB instruction) per core.
    • L2 cache: 512 KB per core.
    • All the CPUs support 16 PCIe 4.0 lanes.
    • Includes integrated RDNA 2 GPU.
    • Fabrication process: TSMC 6 nm FinFET.
    Branding and model CPU GPU TDP Release
    date [59]
    Cores
    (threads)
    Clock (GHz) L3 cache
    (total)
    Core
    config [lower-alpha 1]
    ModelClock
    (GHz)
    Processing
    power [lower-alpha 2]
    (GFLOPS)
    BaseBoost
    Ryzen 7 7735HS 8 (16)3.24.7516 MB1 × 8680M
    12 CU
    2.23379.235–54 WApril 30, 2023
    7735H
    7736U 2.74.715–28 WJanuary 4, 2023
    7735U 4.7515–30 W
    7435HS 3.14.535–54 W2024 [60]
    7435H
    Ryzen 5 7535HS 6 (12)3.34.551 × 6660M
    6 CU
    1.91459.2April 30, 2023
    7535H
    7535U 2.915–30 WJanuary 4, 2023
    7235HS 4 (8)3.24.28 MB1 × 435–53 W2024 [61]
    7235H
    Ryzen 3 7335U 3.04.3660M
    4 CU
    1.8921.615–30 WJanuary 4, 2023
    1. Core Complexes (CCX) × cores per CCX
    2. Single precision performance is calculated from the base (or boost) core clock speed based on a FMA operation.

    Related Research Articles

    <span class="mw-page-title-main">AMD APU</span> Series of microprocessors by AMD

    AMD Accelerated Processing Unit (APU), formerly known as Fusion, is a series of 64-bit microprocessors from Advanced Micro Devices (AMD), combining a general-purpose AMD64 central processing unit (CPU) and 3D integrated graphics processing unit (IGPU) on a single die.

    <span class="mw-page-title-main">Socket FS1</span> CPU socket for laptop AMD CPUs

    The Socket FS1 is for notebooks using AMD APU processors codenamed Llano, Trinity and Richland.

    AMD Excavator Family 15h is a microarchitecture developed by AMD to succeed Steamroller Family 15h for use in AMD APU processors and normal CPUs. On October 12, 2011, AMD revealed Excavator to be the code name for the fourth-generation Bulldozer-derived core.

    <span class="mw-page-title-main">Socket FM2+</span> CPU socket for laptop AMD CPUs

    Socket FM2+ is a zero insertion force CPU socket designed by AMD for their desktop "Kaveri" APUs (Steamroller-based) and Godavari APUs (Steamroller-based) to connect to the motherboard. The FM2+ has a slightly different pin configuration to Socket FM2 with two additional pin sockets. Socket FM2+ APUs are not compatible with Socket FM2 motherboards due to the aforementioned additional pins. However, socket FM2 APUs such as "Richland" and "Trinity" are compatible with the FM2+ socket.

    AMD's Socket FT3 or BGA-769 targets mobile devices and was designed for APUs codenamed Kabini and Temash, Beema and Mullins.

    The Socket FP3 or μBGA906 is a CPU socket for laptops that was released in June 2014 by AMD with its mobility APU products codenamed Kaveri.

    Zen is the codename for a family of computer processor microarchitectures from AMD, first launched in February 2017 with the first generation of its Ryzen CPUs. It is used in Ryzen, Ryzen Threadripper, and Epyc (server).

    <span class="mw-page-title-main">Zen (first generation)</span> 2017 AMD 14-nanometre processor microarchitecture

    Zen is the codename for the first iteration in a family of computer processor microarchitectures of the same name from AMD. It was first used with their Ryzen series of CPUs in February 2017. The first Zen-based preview system was demonstrated at E3 2016, and first substantially detailed at an event hosted a block away from the Intel Developer Forum 2016. The first Zen-based CPUs, codenamed "Summit Ridge", reached the market in early March 2017, Zen-derived Epyc server processors launched in June 2017 and Zen-based APUs arrived in November 2017.

    <span class="mw-page-title-main">Socket AM4</span> CPU socket for AMD processors with Zen and Excavator architectures

    Socket AM4 is a PGA microprocessor socket used by AMD's central processing units (CPUs) built on the Zen and Excavator microarchitectures.

    <span class="mw-page-title-main">Zen 2</span> 2019 AMD 7-nanometre processor microarchitecture

    Zen 2 is a computer processor microarchitecture by AMD. It is the successor of AMD's Zen and Zen+ microarchitectures, and is fabricated on the 7 nm MOSFET node from TSMC. The microarchitecture powers the third generation of Ryzen processors, known as Ryzen 3000 for the mainstream desktop chips, Ryzen 4000U/H and Ryzen 5000U for mobile applications, as Threadripper 3000 for high-end desktop systems, and as Ryzen 4000G for accelerated processing units (APUs). The Ryzen 3000 series CPUs were released on 7 July 2019, while the Zen 2-based Epyc server CPUs were released on 7 August 2019. An additional chip, the Ryzen 9 3950X, was released in November 2019.

    <span class="mw-page-title-main">Ryzen</span> AMD brand for microprocessors

    Ryzen is a brand of multi-core x86-64 microprocessors designed and marketed by Advanced Micro Devices (AMD) for desktop, mobile, server, and embedded platforms based on the Zen microarchitecture. It consists of central processing units (CPUs) marketed for mainstream, enthusiast, server, and workstation segments and accelerated processing units (APUs) marketed for mainstream and entry-level segments and embedded systems applications.

    Zen+ is the codename for a computer processor microarchitecture by AMD. It is the successor to the first gen Zen microarchitecture, and was first released in April 2018, powering the second generation of Ryzen processors, known as Ryzen 2000 for mainstream desktop systems, Threadripper 2000 for high-end desktop setups and Ryzen 3000G for accelerated processing units (APUs).

    <span class="mw-page-title-main">Epyc</span> AMD brand for server microprocessors

    Epyc is a brand of multi-core x86-64 microprocessors designed and sold by AMD, based on the company's Zen microarchitecture. Introduced in June 2017, they are specifically targeted for the server and embedded system markets.

    The Radeon RX Vega series is a series of graphics processors developed by AMD. These GPUs use the Graphics Core Next (GCN) 5th generation architecture, codenamed Vega, and are manufactured on 14 nm FinFET technology, developed by Samsung Electronics and licensed to GlobalFoundries. The series consists of desktop graphics cards and APUs aimed at desktops, mobile devices, and embedded applications.

    <span class="mw-page-title-main">Zen 4</span> 2022 AMD 5-nanometer processor microarchitecture

    Zen 4 is the codename for a CPU microarchitecture designed by AMD, released on September 27, 2022. It is the successor to Zen 3 and uses TSMC's N6 process for I/O dies, N5 process for CCDs, and N4 process for APUs. Zen 4 powers Ryzen 7000 performance desktop processors, Ryzen 8000G series mainstream desktop APUs, and Ryzen Threadripper 7000 series HEDT and workstation processors. It is also used in extreme mobile processors, thin & light mobile processors, as well as EPYC 8004/9004 server processors.

    References

    1. 1 2 AMD (October 8, 2020). Where Gaming Begins, AMD Ryzen™ Desktop Processors. YouTube. Retrieved November 13, 2022.
    2. 1 2 Hruska, Joel (January 10, 2020). "AMD's Lisa Su Confirms Zen 3 Coming in 2020, Talks Challenges in Notebooks". ExtremeTech. Retrieved November 13, 2022.
    3. Cutress, Ian (October 9, 2020). "AMD Ryzen 5000 and Zen 3 on Nov 5th: +19% IPC, Claims Best Gaming CPU". AnandTech. Retrieved November 13, 2022.
    4. Knapp, Mark; Hanson, Matt (October 8, 2020). "AMD Zen 3 release date, specs and price: everything we know about AMD Ryzen 5000". TechRadar. Retrieved November 13, 2022.
    5. 1 2 Alcorn, Paul (October 5, 2019). "AMD dishes on Zen 3 and Zen 4 architecture, Milan and Genoa roadmap". Tom's Hardware. Retrieved October 5, 2019.
    6. Hruska, Joel (May 20, 2020). "AMD Will Support Zen 3, Ryzen 4000 CPUs on X470, B450 Motherboards". ExtremeTech. Retrieved May 20, 2020.
    7. 1 2 "AMD Unveils New Ryzen Mobile Processors Uniting "Zen 3+" core with AMD RDNA 2 Graphics in Powerhouse Design". AMD (Press release). Santa Clara, CA. January 4, 2022. Retrieved May 27, 2022.
    8. 1 2 "AMD Launches the Ultimate Gaming Processor, Brings Enthusiast Performance to an Expanded Lineup of Ryzen Desktop Processors". AMD (Press release). Santa Clara, CA. March 15, 2021. Retrieved November 13, 2022.
    9. 1 2 "AMD "Zen 3" Core Architecture". AMD . Retrieved April 19, 2024.
    10. Alcorn, Paul (November 6, 2020). "AMD Zen 3 Ryzen 5000 Price, Specs, Release Date, Performance, All We Know". Tom's Hardware. Retrieved November 8, 2020.
    11. "GeForce RTX 30 Series Performance Accelerates With Resizable BAR Support | GeForce News". NVIDIA. Retrieved August 13, 2021.
    12. 1 2 Cutress, Ian; Frumusanu, Andrei (November 5, 2020). "AMD Zen 3 Ryzen Deep Dive Review: 5950X, 5900X, 5800X and 5600X Tested". AnandTech. Retrieved December 7, 2020.
    13. Alcorn, Paul (November 26, 2020). "AMD Ryzen 9 5950X and 5900X Review: Zen 3 Breaks the 5 GHz Barrier". Tom's Hardware. Retrieved December 25, 2020.
    14. Shvets, Gennadiy (September 23, 2022). "New AMD Ryzen PRO processors released". CPU-World. Retrieved June 30, 2023.
    15. Wallossek, Igor (January 8, 2024). "CES: And it goes on - even more Ryzen 5000 CPUs for the AM4 socket". igor´sLAB. Retrieved January 9, 2024.
    16. Ganti, Anil (July 1, 2023). "AMD Ryzen 5 5600X3D price and availability officially confirmed". NotebookCheck.net. Retrieved July 1, 2023.
    17. Alcorn, Paul (June 30, 2023). "AMD Ryzen 5 5600X3D to Launch July 7th for $229 at Micro Center Only". Tom's Hardware. Retrieved June 30, 2023.
    18. Liu, Zhiye (June 30, 2022). "AMD's Ryzen 7 5700 Emerges Without Radeon Vega iGPU". Tom's Hardware.
    19. Bonshor, Gavin (July 12, 2023). "AMD Quietly Introduces Ryzen 3 5100 Quad-Core Processor For AM4". AnandTech. Retrieved July 12, 2023.
    20. Liu, Zhiye (July 4, 2023). "Ryzen 3 5100 Budget CPU Could Excel On The Retail Market". Tom's Hardware. Retrieved January 15, 2024.
    21. Mujtaba, Mujtaba (July 5, 2023). "AMD Ryzen 7 5700 8 Core & Ryzen 3 5100 4 Core Budget CPUs For AM4 Platform Confirmed". Wccftech. Retrieved January 15, 2024.
    22. 1 2 Wallossek, Igor (January 8, 2024). "CES: And it goes on - even more Ryzen 5000 CPUs for the AM4 socket". igor´sLAB. Retrieved January 9, 2024.
    23. "AMD Ryzen 9 5980HX". AMD.
    24. "AMD Ryzen 9 5980HS". AMD.
    25. "AMD Ryzen 9 5900HX". AMD.
    26. "AMD Ryzen 9 5900HS". AMD.
    27. "AMD Ryzen 7 5800H". AMD.
    28. "AMD Ryzen 7 5800H Specs". TechPowerUp. Retrieved September 17, 2021.
    29. "AMD Ryzen 7 5800HS". AMD.
    30. "AMD Ryzen 7 5800U". AMD.
    31. "AMD Ryzen 5 5600H". AMD.
    32. "AMD Ryzen 5 5600H Mobile processor - 100-000000296". CPU-World. Retrieved September 17, 2021.
    33. "AMD Ryzen 5 5600HS". AMD.
    34. "AMD Ryzen 5 5600U". AMD.
    35. "AMD Ryzen 5 5560U". AMD.
    36. "AMD Ryzen 3 5400U". AMD.
    37. "AMD Ryzen 3 5400U Mobile processor - 100-000000288". CPU-World. Retrieved September 17, 2021.
    38. "AMD Ryzen 3 PRO 5450U". AMD.
    39. "AMD Ryzen 5 PRO 5650U". AMD.
    40. "AMD Ryzen 7 PRO 5850U". AMD.
    41. "AMD Ryzen 7 5825U". AMD.
    42. "AMD Ryzen 5 5625U". AMD.
    43. "AMD Ryzen 3 5125C". AMD.
    44. "AMD Ryzen 3 PRO 5475U". AMD.
    45. "AMD Ryzen 5 PRO 5675U". AMD.
    46. "AMD Ryzen 7 PRO 5875U". AMD.
    47. "AMD Ryzen 3 5425C". AMD.
    48. "AMD Ryzen 5 5625C". AMD.
    49. "AMD Ryzen 7 5825C". AMD.
    50. "AMD Extends its Leadership with the Introduction of its Broadest Portfolio of High-Performance PC Products for Mobile and Desktop". AMD.
    51. 1 2 3 4 5 "Embedded Processor Specifications". AMD.
    52. 1 2 3 4 5 "Product Brief: AMD Ryzen Embedded V3000 Processor Family" (PDF). AMD.
    53. "AMD Launches Ryzen Embedded V3000 Series Processors Delivering New Levels of Performance and Power Efficiency for "Always-On" Storage and Networking". AMD.
    54. Alcorn, Paul (March 15, 2021). "Watch AMD's EPYC 7003 Milan Launch Here". Tom's Hardware. Retrieved July 23, 2021.
    55. Polanco, Tony (June 23, 2022). "AMD Ryzen 6000 specs, performance and everything we know". Tom's Guide. Retrieved April 24, 2023.
    56. "AMD Zen3+ Architecture and Ryzen 6000 "Rembrandt" Mobile Processors Detailed". TechPowerUp. February 18, 2022. Retrieved April 24, 2023.
    57. Schiesser, Tim (February 17, 2022). "AMD launches Ryzen 6000 series for laptops: What's new with the Zen 3+ architecture?". TechSpot. Retrieved April 24, 2023.
    58. "AMD Unveils New Ryzen Mobile Processors Uniting "Zen 3+" core with AMD RDNA 2 Graphics in Powerhouse Design". AMD.
    59. "AMD Extends its Leadership with the Introduction of its Broadest Portfolio of High-Performance PC Products for Mobile and Desktop". AMD. January 4, 2023.
    60. "AMD launches Ryzen 5 7235H and Ryzen 7 7435H APUs with Zen3+ cores and iGPU disabled". Videocardz. April 1, 2024. Retrieved April 1, 2024.
    61. Zuhair, Muhammad (March 31, 2024). "AMD Silently Lists Two New Ryzen 5 7235H & 7235HS "Zen 3+" APUs For Notebook & Desktop PCs". Wccftech. Retrieved March 31, 2024.