Dark silicon

Last updated

In the electronics industry, dark silicon is the amount of circuitry of an integrated circuit that cannot be powered-on at the nominal operating voltage for a given thermal design power (TDP) constraint.

Dennard scaling would posit that as transistors get smaller, they become more efficient in proportion to the increase in number for a given area, but this scaling has broken down in recent years, meaning that increases in the efficiency of smaller transistors are not proportionate with the increase in their number. This discontinuation of scaling has led to sharp increases in power density that hamper powering-on all transistors simultaneously while keeping temperatures in a safe operating range. [1]

As of 2011, researchers from different groups have projected that, at 8 nm technology nodes, the amount of dark silicon may reach up to 50–80% [2] depending upon the processor architecture, cooling technology, and application workloads. Dark silicon may be unavoidable even in server workloads with abundance of inherent client request-level parallelism. [3]

Challenges and opportunities

The emergence of dark silicon introduces several challenges for the architecture, electronic design automation (EDA), and hardware-software co-design communities. These include the question of how best to utilize the plethora of transistors (with potentially many dark ones) when designing and managing energy-efficient on-chip many-core processors under peak power and thermal constraints. Architects have initiated several efforts to leverage dark silicon in designing application-specific and accelerator-rich architectures. [4] [5] [6]

Recently, researchers have explored how dark silicon exposes new challenges and opportunities for the EDA community. [7] In particular, they have demonstrated thermal, reliability (soft error and aging), and process variation concerns for dark silicon many-core processors.

Related Research Articles

<span class="mw-page-title-main">Field-programmable gate array</span> Array of logic gates that are reprogrammable

A field-programmable gate array (FPGA) is an integrated circuit designed to be configured after manufacturing. The FPGA configuration is generally specified using a hardware description language (HDL), similar to that used for an application-specific integrated circuit (ASIC). Circuit diagrams were previously used to specify the configuration, but this is increasingly rare due to the advent of electronic design automation tools.

<span class="mw-page-title-main">Integrated circuit</span> Electronic circuit formed on a small, flat piece of semiconductor material

An integrated circuit or monolithic integrated circuit is a set of electronic circuits on one small flat piece of semiconductor material, usually silicon. Large numbers of miniaturized transistors and other electronic components are integrated together on the chip. This results in circuits that are orders of magnitude smaller, faster, and less expensive than those constructed of discrete components, allowing a large transistor count. The IC's mass production capability, reliability, and building-block approach to integrated circuit design have ensured the rapid adoption of standardized ICs in place of designs using discrete transistors. ICs are now used in virtually all electronic equipment and have revolutionized the world of electronics. Computers, mobile phones and other home appliances are now inextricable parts of the structure of modern societies, made possible by the small size and low cost of ICs such as modern computer processors and microcontrollers.

<span class="mw-page-title-main">Very Large Scale Integration</span> Creating an integrated circuit by combining many transistors into a single chip

Very large-scale integration (VLSI) is the process of creating an integrated circuit (IC) by combining millions or billions of MOS transistors onto a single chip. VLSI began in the 1970s when MOS integrated circuit chips were developed and then widely adopted, enabling complex semiconductor and telecommunication technologies. The microprocessor and memory chips are VLSI devices.

Processor power dissipation or processing unit power dissipation is the process in which computer processors consume electrical energy, and dissipate this energy in the form of heat due to the resistance in the electronic circuits.

Electronic design automation (EDA), also referred to as electronic computer-aided design (ECAD), is a category of software tools for designing electronic systems such as integrated circuits and printed circuit boards. The tools work together in a design flow that chip designers use to design and analyze entire semiconductor chips. Since a modern semiconductor chip can have billions of components, EDA tools are essential for their design; this article in particular describes EDA specifically with respect to integrated circuits (ICs).

In system administration, orchestration is the automated configuring, coordinating, and managing of computer systems and software.

Placement is an essential step in electronic design automation — the portion of the physical design flow that assigns exact locations for various circuit components within the chip's core area. An inferior placement assignment will not only affect the chip's performance but might also make it non-manufacturable by producing excessive wire-length, which is beyond available routing resources. Consequently, a placer must perform the assignment while optimizing a number of objectives to ensure that a circuit meets its performance demands. Together, the placement and routing steps of IC design are known as place and route.

<span class="mw-page-title-main">Design Automation Conference</span>

The Design Automation Conference, or DAC, is an annual event, a combination of a technical conference and a trade show, both specializing in electronic design automation (EDA).

<span class="mw-page-title-main">Network on a chip</span> Electronic communication subsystem on an integrated circuit

A network on a chip or network-on-chip is a network-based communications subsystem on an integrated circuit ("microchip"), most typically between modules in a system on a chip (SoC). The modules on the IC are typically semiconductor IP cores schematizing various functions of the computer system, and are designed to be modular in the sense of network science. The network on chip is a router-based packet switching network between SoC modules.

<span class="mw-page-title-main">Edge computing</span> Distributed computing paradigm

Edge computing is a distributed computing paradigm that brings computation and data storage closer to the sources of data. This is expected to improve response times and save bandwidth. Edge computing is an architecture rather than a specific technology, and a topology- and location-sensitive form of distributed computing.

Dynamic frequency scaling is a power management technique in computer architecture whereby the frequency of a microprocessor can be automatically adjusted "on the fly" depending on the actual needs, to conserve power and reduce the amount of heat generated by the chip. Dynamic frequency scaling helps preserve battery on mobile devices and decrease cooling cost and noise on quiet computing settings, or can be useful as a security measure for overheated systems.

Pollack's Rule states that microprocessor "performance increase due to microarchitecture advances is roughly proportional to [the] square root of [the] increase in complexity". This contrasts with power consumption increase, which is roughly linearly proportional to the increase in complexity. Complexity in this context means processor logic, i.e. its area.

Electronic systems’ power consumption has been a real challenge for Hardware and Software designers as well as users especially in portable devices like cell phones and laptop computers. Power consumption also has been an issue for many industries that use computer systems heavily such as Internet service providers using servers or companies with many employees using computers and other computational devices. Many different approaches have been discovered by researchers to estimate power consumption efficiently. This survey paper focuses on the different methods where power consumption can be estimated or measured in real-time.

Margaret Martonosi is an American computer scientist who is currently the Hugh Trumbull Adams '35 Professor of Computer Science at Princeton University. Martonosi is noted for her research in computer architecture and mobile computing with a particular focus on power-efficiency.

In semiconductor electronics, Dennard scaling, also known as MOSFET scaling, is a scaling law which states roughly that, as transistors get smaller, their power density stays constant, so that the power use stays in proportion with area; both voltage and current scale (downward) with length. The law, originally formulated for MOSFETs, is based on a 1974 paper co-authored by Robert H. Dennard, after whom it is named.

In computing, energy proportionality is a measure of the relationship between power consumed in a computer system, and the rate at which useful work is done. If the overall power consumption is proportional to the computer's utilization, then the machine is said to be energy proportional. Equivalently stated, for an idealized energy proportional computer, the overall energy per operation is constant for all possible workloads and operating conditions.

Coherent Accelerator Processor Interface (CAPI), is a high-speed processor expansion bus standard for use in large data center computers, initially designed to be layered on top of PCI Express, for directly connecting central processing units (CPUs) to external accelerators like graphics processing units (GPUs), ASICs, FPGAs or fast storage. It offers low latency, high speed, direct memory access connectivity between devices of different instruction set architectures.

<span class="mw-page-title-main">David Atienza</span> Spanish physicist and materials scientist

David Atienza Alonso is a Spanish/Swiss scientist in the disciplines of computer and electrical engineering. His research focuses on hardware‐software co‐design and management for energy‐efficient and thermal-aware computing systems, always starting from a system‐level perspective to the actual electronic design. He is a full professor of electrical and computer engineering at the Swiss Federal Institute of Technology in Lausanne (EPFL) and the head of the Embedded Systems Laboratory (ESL). He is an IEEE Fellow (2016), and an ACM Fellow (2022).

<span class="mw-page-title-main">Babak Falsafi</span>

Babak Falsafi is a computer scientist specializing in computer architecture and digital platform design. He is the founding director of EcoCloud at EPFL, an industrial/academic consortium investigating efficient and intelligent data-centric technologies. He is a professor in the School of Computer and Communication Sciences at EPFL. Prior to that he was a professor of electrical and computer engineering at Carnegie Mellon University, and an assistant professor of electrical and computer engineering at Purdue University. He holds a bachelor's degree in computer science, a bachelor's degree in electrical and computer engineering with distinctions from SUNY Buffalo, and a master's degree and PhD in computer science from University Wisconsin - Madison.

A domain-specific architecture (DSA) is a programmable computer architecture specifically tailored to operate very efficiently within the confines of a given application domain. The term is often used in contrast to general-purpose architectures, such as CPUs, that are designed to operate on any computer program.

References

  1. Taylor, Michael B. (June 2012). "Is dark silicon useful? Harnessing the four horsemen of the coming dark silicon apocalypse". DAC Design Automation Conference 2012: 1131–1136.
  2. Esmaeilzadeh, Hadi; et al. (June 2011). "Dark silicon and the end of multicore scaling" (PDF). 2011 38th Annual International Symposium on Computer Architecture (ISCA): 365–376.
  3. Hardavellas, Nikos; Ferdman, Michael; Falsafi, Babak; Ailamaki, Anastasia (2011). "Toward Dark Silicon in Servers" (PDF). IEEE Micro. 31 (4): 6. doi:10.1109/MM.2011.77. ISSN   1937-4143. S2CID   2765349.
  4. Venkatesh, Ganesh; Sampson, Jack; Goulding, Nathan; Garcia, Saturnino; Bryksin, Vladyslav; Lugo-Martinez, Jose; Swanson, Steven; Taylor, Michael Bedford (2010-03-13). "Conservation cores: reducing the energy of mature computations" (PDF). ACM SIGPLAN Notices. 45 (3): 205–218. doi:10.1145/1735971.1736044. ISSN   0362-1340.
  5. Cong, Jason; Ghodrat, Mohammad Ali; Gill, Michael; Grigorian, Beayna; Reinman, Glenn (2012-06-03). "Architecture support for accelerator-rich CMPS". Proceedings of the 49th Annual Design Automation Conference. DAC '12. San Francisco, California: Association for Computing Machinery. pp. 843–849. doi:10.1145/2228360.2228512. ISBN   978-1-4503-1199-1. S2CID   15870762.
  6. Lyons, Michael J.; Hempstead, Mark; Wei, Gu-Yeon; Brooks, David (2012-01-26). "The accelerator store: A shared memory framework for accelerator-based systems". ACM Transactions on Architecture and Code Optimization. 8 (4): 48:1–48:22. CiteSeerX   10.1.1.226.994 . doi: 10.1145/2086696.2086727 . ISSN   1544-3566.
  7. Shafique, Muhammad; Garg, Siddharth; Henkel, Jörg; Marculescu, Diana (2014-06-01). "The EDA Challenges in the Dark Silicon Era". Proceedings of the 51st Annual Design Automation Conference. DAC '14. San Francisco, CA, USA: Association for Computing Machinery. pp. 1–6. doi:10.1145/2593069.2593229. ISBN   978-1-4503-2730-5. S2CID   10686259.