FSI International

Last updated
FSI International, Inc
Company type Subsidiary
Nasdaq: FSII
Industry Semiconductor Equipment & Testing
Founded1973
Headquarters,
Productssurface conditioning technology solutions[ buzzword ], microlithography system
Website www.tel.com/about/index.htm

FSI International, Inc. (FSI) is an American manufacturing company based in Chaska, Minnesota, that supplies processing equipment used to manufacture microelectronics, including semiconductor devices.

Contents

History

The company's history began with the establishment of Fluoroware, Inc. in 1966, a company that made fixtures to hold the silicon wafers in place during the various processes involved in producing semiconductor devices. When asked to design a drying apparatus, the principals of Fluoroware agreed to do so and established FSI International in 1973 to market this new product.

The company's offerings expanded to meet the market need for equipment used in the fabrication of microelectronics. In the 1990s, the company relocated from its original facility overlooking Hazeltine Lake to a larger site nearby.

In 1999, FSI International announced an agreement to acquire YieldUP International Corp. [1] In October 2012, Tokyo Electron acquired FSI International, Inc. and renamed the division TEL FSI. [2] [3]

Products

FSI International supplied wafer cleaning equipment, using wet, cryogenic or other chemistry techniques. [4] [5] [6] [7] The company also sold microlithography systems using photoresist processes. [8] The company provides upgrade, replacement and other support services. The five main series of equipment produced by the company are: ZETA, MERCURY, ORION, ANTARES, and POLARIS, and in earlier years, the company also produced the SATURN and NEPTUNE processing systems. Some of the products of the company are spray cleaning systems, single wafer cleaning systems, cryogenic processing systems, and immersion cleaning systems. [9]

Related Research Articles

Photolithography is a process used in the manufacturing of integrated circuits. It involves using light to transfer a pattern onto a substrate, typically a silicon wafer.

<span class="mw-page-title-main">Semiconductor device fabrication</span> Manufacturing process used to create integrated circuits

Semiconductor device fabrication is the process used to manufacture semiconductor devices, typically integrated circuits (ICs) such as computer processors, microcontrollers, and memory chips. It is a multiple-step photolithographic and physico-chemical process during which electronic circuits are gradually created on a wafer, typically made of pure single-crystal semiconducting material. Silicon is almost always used, but various compound semiconductors are used for specialized applications.

<span class="mw-page-title-main">Semiconductor device</span> Electronic component that exploits the electronic properties of semiconductor materials

A semiconductor device is an electronic component that relies on the electronic properties of a semiconductor material for its function. Its conductivity lies between conductors and insulators. Semiconductor devices have replaced vacuum tubes in most applications. They conduct electric current in the solid state, rather than as free electrons across a vacuum or as free electrons and ions through an ionized gas.

Applied Materials, Inc. is an American corporation that supplies equipment, services and software for the manufacture of semiconductor chips for electronics, flat panel displays for computers, smartphones, televisions, and solar products. The company also supplies equipment to produce coatings for flexible electronics, packaging and other applications. The company is headquartered in Santa Clara, California, and is the second largest supplier of semiconductor equipment in the world based on revenue behind ASML of Netherlands.

<span class="mw-page-title-main">Photomask</span> Photolithographic tool

A photomask is an opaque plate with transparent areas that allow light to shine through in a defined pattern. Photomasks are commonly used in photolithography for the production of integrated circuits to produce a pattern on a thin wafer of material. In semiconductor manufacturing, a mask is sometimes called a reticle.

Wafer fabrication is a procedure composed of many repeated sequential processes to produce complete electrical or photonic circuits on semiconductor wafers in semiconductor device fabrication process. Examples include production of radio frequency (RF) amplifiers, LEDs, optical computer components, and microprocessors for computers. Wafer fabrication is used to build components with the necessary electrical structures.

Masklesslithography (MPL) is a photomask-less photolithography-like technology used to project or focal-spot write the image pattern onto a chemical resist-coated substrate by means of UV radiation or electron beam.

KLA Corporation is an American capital equipment company based in Milpitas, California. It supplies process control and yield management systems for the semiconductor industry and other related nanoelectronics industries. The company's products and services are intended for all phases of wafer, reticle, integrated circuit (IC) and packaging production, from research and development to final volume manufacturing.

<span class="mw-page-title-main">Microfabrication</span> Fabrication at micrometre scales and smaller

Microfabrication is the process of fabricating miniature structures of micrometre scales and smaller. Historically, the earliest microfabrication processes were used for integrated circuit fabrication, also known as "semiconductor manufacturing" or "semiconductor device fabrication". In the last two decades microelectromechanical systems (MEMS), microsystems, micromachines and their subfields, microfluidics/lab-on-a-chip, optical MEMS, RF MEMS, PowerMEMS, BioMEMS and their extension into nanoscale have re-used, adapted or extended microfabrication methods. Flat-panel displays and solar cells are also using similar techniques.

<span class="mw-page-title-main">Semiconductor fabrication plant</span> Factory where integrated circuits are manufactured

In the microelectronics industry, a semiconductor fabrication plant is a factory for semiconductor device fabrication.

<span class="mw-page-title-main">Lam Research</span> American semiconductor equipment company

Lam Research Corporation is an American supplier of wafer-fabrication equipment and related services to the semiconductor industry. Its products are used primarily in front-end wafer processing, which involves the steps that create the active components of semiconductor devices and their wiring (interconnects). The company also builds equipment for back-end wafer-level packaging (WLP) and for related manufacturing markets such as for microelectromechanical systems (MEMS).

Semitool was a semiconductor manufacturing/capital equipment company based in Kalispell, Montana.

Entegris, Inc. is a supplier of materials for the semiconductor and other high-tech industries. Entegris has approximately 8,000 employees throughout its global operations. It has manufacturing, customer service and/or research facilities in the United States, Canada, China, Germany, Israel, Japan, Malaysia, Singapore, South Korea, and Taiwan. The company’s corporate headquarters are in Billerica, Massachusetts.

<span class="mw-page-title-main">Veeco</span> American manufacturing company

Veeco is a global capital equipment supplier, headquartered in the U.S., that designs and builds processing systems used in semiconductor and compound semiconductor manufacturing, data storage and scientific markets for applications such as advanced packaging, photonics, power electronics and display technologies.

GlobalFoundries Inc. is a multinational semiconductor contract manufacturing and design company incorporated in the Cayman Islands and headquartered in Malta, New York. Created by the divestiture of the manufacturing arm of AMD, the company was privately owned by Mubadala Investment Company, a sovereign wealth fund of the United Arab Emirates, until an initial public offering (IPO) in October 2021.

<span class="mw-page-title-main">Inmos microprocessor factory</span> Building in Newport, Wales

The Inmos microprocessor factory, also known as the Inmos factory, previously known as Newport Wafer Fab, now known as Nexperia Newport, is a semiconductor fabrication plant for Inmos built in Newport, Wales, UK in 1980. It has gone through numerous changes in ownership. Since March 2024, the factory has been owned by Vishay Intertechnology.

<span class="mw-page-title-main">Tokyo Electron</span> Japanese semiconductor equipment manufacturer

Tokyo Electron Limited, or TEL, is a Japanese electronics and semiconductor company headquartered in Akasaka, Minato-ku, Tokyo, Japan. The company was founded as Tokyo Electron Laboratories, Inc. in 1963. TEL is best known as a supplier of equipment to fabricate integrated circuits (IC), flat panel displays (FPD), and photovoltaic cells (PV). Tokyo Electron Device, or TED, is a subsidiary of TEL specializing in semiconductor devices, electronic components, and networking devices. As of 2011, TEL was the largest manufacturer of IC and FPD production equipment. Listed on the Nikkei 225, in 2024, Tokyo Electron had a market cap of US$114.6 billion, making it the third-most valuable company in Japan in terms of market cap, and the 12th ranked semiconductor-related company worldwide.

<span class="mw-page-title-main">Diodes Incorporated</span> American semiconductor manufacturer

Diodes Incorporated is a global manufacturer and supplier of application specific standard products within the discrete, logic, analog, and mixed-signal semiconductor markets. Diodes serves the consumer electronics, computing, communications, industrial, and automotive markets.

Onto Innovation Inc. is an American semiconductor company formed in 2019 from the merger of Rudolph Technologies, Inc. and Nanometrics Incorporated. Onto Innovation is traded as NYSE: ONTO on the New York Stock Exchange, it is a provider of process and process control equipment and software for microelectronic manufacturing industries. The company's product offering includes automated defect inspection and metrology systems, probe card test and analysis systems, and lithography step-and-repeat systems. In addition, Onto Innovation provides a broad range of software products designed to improve yield, control processes and reduce manufacturing costs.

The Chinese semiconductor industry, including integrated circuit design and manufacturing, forms a major part of mainland China's information technology industry.

References

  1. Yu, Roger (1999-01-23). "FSI International will buy YieldUP in $20 million deal". Archived from the original on 2014-06-10.
  2. "Company Description". Google Finance.
  3. "Tokyo Electron Limited Completes Acquisition of FSI International, Inc". 3 July 2023.
  4. "Chaska-based FSI sold for $252.5 million". swnewsmedia.com. 2012-08-18. Retrieved 2024-06-16.
  5. Sand, Benno (2011-12-01). "FSI International Receives Follow-On Orders for Its ORION® System from Leading Semiconductor Producers". businesswire.com. Retrieved 2024-06-16.
  6. "FSI wins fab orders for cleaning system". cleanroomtechnology.com. 2012-04-25. Retrieved 2024-06-16.
  7. "FSI to acquire YieldUp". semiconductor-digest.com. Retrieved 2024-06-16.
  8. "FSI International, Inc. Announces $9.6 Million In Repeat Orders For Polaris Microlithography Clusters". eetimes.com. 1997-12-29. Retrieved 2024-06-16.
  9. "FSI International, Inc". Archived from the original on 2013-12-07. Retrieved 2013-12-07.