Martin D. F. Wong

Last updated

Martin Ding Fat Wong is an American and Chinese computer scientist, electrical engineer, and university administrator. He is the Provost of the Hong Kong Baptist University (HKBU). [1] Wong is known for his contributions to computer-aided design of integrated circuits.

Contents

University career

Wong received his Ph.D. degree in Computer Science from University of Illinois at Urbana-Champaign (UIUC) in 1987 advised by Chung Laung Liu. [2] Between 1987 and 2002, he was a Bruton Centennial Professor of Computer Science at the University of Texas at Austin. He returned to UIUC in 2002 as the Edward C. Jordan Professor of Electrical and Computer Engineering. [3] In 2012, he became the Executive Associate Dean of the College of Engineering. In 2018, he moved to the Chinese University of Hong Kong (CUHK), where he became the Choh-Ming Li Professor of Computer Science and Engineering and the Dean of the Faculty of Engineering. [4] In 2023, Wong became the Provost of Hong Kong Baptist University (HKBU) and a Chair Professor of Computer Science there. [1] He has published over 450 scholarly papers and graduated 51 Ph.D. students in EDA [4]

Technical contributions

Many of Wong's technical contributions are in algorithms for physical design of integrated circuits. He developed the use of simulated annealing in floorplan (microelectronics) design [5] [6] as well as algorithms for wire routing [7] and circuit partitioning. [8] Wong also worked on FPGA design and efficient GPU implementations of classical algorithms, such as breadth-first search. [9]

Awards

Wong was named an IEEE Fellow in 2006 "for contributions to algorithmic aspects of computer-aided design (CAD) of very large scale integrated (VLSI) circuits and systems." [10] He was named an ACM Fellow in 2017 "for contributions to the algorithmic aspects of electronic design automation (EDA)". [11]

In 2000, Wong shared a IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems Donald O. Pederson Best Paper Award [12] for the paper on simultaneous buffer insertion and sizing and wire sizing. [13]

Related Research Articles

<span class="mw-page-title-main">Donald Pederson</span>

Donald Oscar Pederson was an American professor of electrical engineering at the University of California, Berkeley, and one of the designers of SPICE, a simulator for integrated circuits that has been universally used as a teaching tool and in the everyday work of circuits engineers. The IEEE Donald O. Pederson Award in Solid-State Circuits is named in his honor.

Placement is an essential step in electronic design automation — the portion of the physical design flow that assigns exact locations for various circuit components within the chip's core area. An inferior placement assignment will not only affect the chip's performance but might also make it non-manufacturable by producing excessive wire-length, which is beyond available routing resources. Consequently, a placer must perform the assignment while optimizing a number of objectives to ensure that a circuit meets its performance demands. Together, the placement and routing steps of IC design are known as place and route.

Jingsheng Jason Cong is a Chinese-born American computer scientist, educator, and serial entrepreneur. He received his B.S. degree in computer science from Peking University in 1985, his M.S. and Ph. D. degrees in computer science from the University of Illinois at Urbana-Champaign in 1987 and 1990, respectively. He has been on the faculty in the Computer Science Department at the University of California, Los Angeles (UCLA) since 1990. Currently, he is a Distinguished Chancellor’s Professor and the director of Center for Domain-Specific Computing (CDSC).

Giovanni De Micheli is Professor and Director of the Institute of Electrical Engineering and of the Integrated Systems Centre at École Polytechnique Fédérale de Lausanne (EPFL), Switzerland. He is program leader of the Nano-Tera.ch program. Previously, he was Professor of Electrical Engineering at Stanford University. He holds a Nuclear Engineer degree, a M.S. and a Ph.D. degree in Electrical Engineering and Computer Science under Alberto Sangiovanni-Vincentelli.

Chung Laung Liu, also known as David Liu or C. L. Liu, was a Taiwanese computer scientist. Born in Guangzhou, he spent his childhood in Macau. He received his B.Sc. degree in Taiwan, master's degree and doctorate in United States.

The International Conference on Computer-Aided Design (ICCAD) is a yearly conference about electronic design automation. From the start in 1982 until 2014 the conference was held in San Jose, California. It is sponsored by the IEEE Circuits and Systems Society, Computer-Aided Design Technical Committee (CANDE), the IEEE Council on Electronic Design Automation (CEDA), and SIGDA, and in cooperation with the IEEE Electron Devices Society and the IEEE Solid State Circuits Society.

<span class="mw-page-title-main">Floorplan (microelectronics)</span> Layout of major electronic circuit blocks

In electronic design automation, a floorplan of an integrated circuit is a schematic representation of tentative placement of its major functional blocks.

<span class="mw-page-title-main">Jacob K. White</span> American electronics engineer and professor

Jacob K. White is the Cecil H. Green Professor of Electrical Engineering and Computer Science at the Massachusetts Institute of Technology. He researches fast numerical algorithms for simulation, particularly the simulation of circuits. His work on the FASTCAP program for three-dimensional capacitance calculation and FASTHENRY, a program for three-dimensional inductance calculations, is highly cited. He has also done extensive work on steady-state simulation of analog and microwave circuits. White was a significant early contributor to the development of Spectre and SpectreRF.

John Patrick Hayes is an Irish-American computer scientist and electrical engineer, the Claude E. Shannon Chair of Engineering Science at the University of Michigan. He supervised over 35 doctoral students, coauthored seven books and over 340 peer-reviewed publications. His Erdös number is 2.

<span class="mw-page-title-main">Farinaz Koushanfar</span> Computer scientist

Farinaz Koushanfar is an Iranian-American computer scientist whose research concerns embedded systems, ad-hoc networks, and computer security. She is a professor and Henry Booker Faculty Scholar of Electrical and Computer Engineering at the University of California, San Diego.

Stephen "Steve" Trimberger is an American computer scientist, electrical engineer, philanthropist, and prolific inventor with 250 US utility patents as of August 26, 2021. He is a DARPA program manager of the microsystems technology office.

<span class="mw-page-title-main">Rob A. Rutenbar</span> American academic

Rob A. Rutenbar is an American academic noted for contributions to software tools that automate analog integrated circuit design, and custom hardware platforms for high-performance automatic speech recognition. He is Senior Vice Chancellor for Research at the University of Pittsburgh, where he leads the university's strategic and operational vision for research and innovation.

<span class="mw-page-title-main">Saraju Mohanty</span> Indian-American computer scientist

Saraju Mohanty is an Indian-American professor of the Department of Computer Science and Engineering, and the director of the Smart Electronic Systems Laboratory, at the University of North Texas in Denton, Texas. Mohanty received a Glorious India Award – Rich and Famous NRIs of America in 2017 for his contributions to the discipline. Mohanty is a researcher in the areas of "smart electronics for smart cities/villages", "smart healthcare", "application-Specific things for efficient edge computing", and "methodologies for digital and mixed-signal hardware". He has made significant research contributions to security by design (SbD) for electronic systems, hardware-assisted security (HAS) and protection, high-level synthesis of digital signal processing (DSP) hardware, and mixed-signal integrated circuit computer-aided design and electronic design automation. Mohanty has been the editor-in-chief (EiC) of the IEEE Consumer Electronics Magazine during 2016-2021. He has held the Chair of the IEEE Computer Society's Technical Committee on Very Large Scale Integration during 2014-2018. He holds 4 US patents in the areas of his research, and has published 450 research articles and 5 books.

<span class="mw-page-title-main">Bruce Hajek</span> American electrical engineer

Bruce Edward Hajek is a Professor in the Coordinated Science Laboratory, the head of the Department of Electrical and Computer Engineering, and the Leonard C. and Mary Lou Hoeft Chair in Engineering at the University of Illinois Urbana–Champaign. He does research in communication networking, auction theory, stochastic analysis, combinatorial optimization, machine learning, information theory, and bioinformatics.

<span class="mw-page-title-main">David Atienza</span> Spanish physicist and materials scientist

David Atienza Alonso is a Spanish/Swiss scientist in the disciplines of computer and electrical engineering. His research focuses on hardware‐software co‐design and management for energy‐efficient and thermal-aware computing systems, always starting from a system‐level perspective to the actual electronic design. He is a full professor of electrical and computer engineering at the Swiss Federal Institute of Technology in Lausanne (EPFL) and the head of the Embedded Systems Laboratory (ESL). He is an IEEE Fellow (2016), and an ACM Fellow (2022).

<span class="mw-page-title-main">Eugene Wong</span> Chinese-American computer scientist and mathematician

Eugene Wong is a Chinese-American computer scientist and mathematician. Wong's career has spanned academia, university administration, government and the private sector. Together with Michael Stonebraker and a group of scientists at IBM, Wong is credited with pioneering database research in the 1970s from which software developed by IBM, Microsoft, and Oracle descends. Wong retired in 1994, since then holding the title of Professor Emeritus of Electrical Engineering and Computer Sciences at University of California, Berkeley.

Lawrence Pileggi is the Coraluppi Head and Tanoto Professor of Electrical and Computer Engineering at Carnegie Mellon University. He is a specialist in the automation of integrated circuits, and developing software tools for the optimization of power grids. Pileggi's research has been cited thousands of times in engineering papers.

Keum-Shik Hong is a South Korean mechanical engineer, academic, author, and researcher. He is a professor emeritus in the School of Mechanical Engineering at Pusan National University. He is also a Distinguished Professor in the Institute For Future, Qingdao University, China.

Luca P. Carloni is a professor and chair of the Department of Computer Science at Columbia University in the City of New York.. He has been on the faculty at Columbia since 2004. He is an international expert on electronic computer-aided design.

<span class="mw-page-title-main">Igor L. Markov</span> American computer scientist and engineer

Igor Leonidovich Markov is an American professor, computer scientist and engineer. Markov is known for mathematical and algorithmic results in quantum computation, work on limits of computation, research on algorithms for optimizing integrated circuits and on electronic design automation, as well as artificial intelligence. Additionally, Markov is a California non-profit executive responsible for aid to Ukraine worth tens of millions dollars.

References

  1. 1 2 "Professor Martin Wong". Hong Kong Baptist University. August 2023.
  2. "Martin D.F. Wong". Mathematics genealogy project. Retrieved September 6, 2023.
  3. "Martin D.F. Wong". UIUC Department of Electrical and Computer Engineering. Retrieved September 6, 2023.
  4. 1 2 "Prof. Martin D.F. Wong". Chinese University of Hong Kong. 2022.
  5. D. F. Wong; C. L. Liu (1986). "A new algorithm for floorplan design". Design Automation Conference. 1986: 101–107.
  6. Xiaoping Tang; D. F. Wong (2001). "FAST-SP: a fast algorithm for block placement based on sequence pair". Asp-Dac. 2001: 521–526.
  7. Shinichiro Haruyama; Martin D. F. Wong; Donald S. Fussell (1988). "Topological channel routing". ICCAD. 1988: 406–409.
  8. Honghua Yang; D. F. Wong (1994). "Efficient network flow based min-cut balanced partitioning". ICCAD. 1994: 50–55.
  9. Lijuan Luo; Martin D. F. Wong; Wen-mei Hwu (2010). "An effective GPU implementation of breadth-first search". Design Automation Conference. 2010: 52–55.
  10. "IEEE Fellows Directory".
  11. "Wong honored as ACM Fellow for electronic design automation contributions". UIUC. December 21, 2017.
  12. "IEEE Transactions on Computer-Aided Design Donald O. Pederson Best Paper Award". IEEE CEDA. Retrieved September 6, 2023.
  13. Chu, Chris; Wong, Martin D.F. (June 1999). "A Quadratic Programming Approach to Simultaneous Buffer Insertion/Sizing and Wire Sizing". IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems . 18 (6): 787–798. doi:10.1109/43.766728.