IC layout editor

Last updated

An Integrated circuit layout editor or IC layout editor is an electronic design automation software tool that allows a user to digitize the shapes and patterns that form an integrated circuit. Typically the view will include the components (usually as pcells), metal routing tracks, vias and electrical pins. Software of this type is similar to computer aided drafting software, but is specialized for the task of integrated circuit layout. The typical flow for the layout of analog circuits might be :

Electronic design automation (EDA), also referred to as electronic computer-aided design (ECAD), is a category of software tools for designing electronic systems such as integrated circuits and printed circuit boards. The tools work together in a design flow that chip designers use to design and analyze entire semiconductor chips. Since a modern semiconductor chip can have billions of components, EDA tools are essential for their design.

Integrated circuit electronic circuit manufactured by lithography; set of electronic circuits on one small flat piece (or "chip") of semiconductor material, normally silicon

An integrated circuit or monolithic integrated circuit is a set of electronic circuits on one small flat piece of semiconductor material that is normally silicon. The integration of large numbers of tiny MOS transistors into a small chip results in circuits that are orders of magnitude smaller, faster, and less expensive than those constructed of discrete electronic components. The IC's mass production capability, reliability, and building-block approach to circuit design has ensured the rapid adoption of standardized IC's in place of designs using discrete transistors. ICs are now used in virtually all electronic equipment and have revolutionized the world of electronics. Computers, mobile phones, and other digital home appliances are now inextricable parts of the structure of modern societies, made possible by the small size and low cost of ICs.

A via or VIA is an electrical connection between layers in a physical electronic circuit that goes through the plane of one or more adjacent layers. To ensure via robustness, IPC sponsored a round-robin exercise that developed a time to failure calculator.

1. The layout engineer receives the schematic from the designer in electrical form
2. Either the tool or the layout engineer creates a physical view of the circuit including all of the required components, wires, layers and pads.
3. The layout engineer positions the components to minimize both the area required and the negative effects of layout parasitics upon the circuit performance and also to allow efficient routing to components.
4. The layout engineer uses metal routing and other layers at times to connect all of the components, again taking care to avoid unwanted layout parasitics.
5. The layout engineer uses DRC and LVS checks to ensure that the circuit is both manufacturable and functional. Other tools in include field solver verification to check for important specs such as device resistance and sources of problems such as electromigration or too thin wires resulting in burn up of wires causing shorts or open circuits.
6. Other checks include ESD, XOR, EOS and verification with the foundry called Mebes check to ensure the boolean algorithms that generate the mask layers are done as intended. Boolean generation is quite often done in the layout editor.
Layout used to be done on sticks and yards of strings for very basic components. The advent of computers particularly mainframes and mini computers helped bring layout to the digital world of computers. In the 80's and 90's quite a bit of layout editing was done on personal pc's using such tools as IC Editors, L-Edit and others. Other layout editors use large track ball like device with clickers. Layout editors have moved mostly to the server world through the likes of Cadence Virtuoso and Mentor though some is still done through PC tools through tools such as L-Edit but sadly there is little choose from in the PC market though there are a few exceptions such as Magic and Klayout but these are mostly utilized for utility such as to view GDS files not fully powered layout editors as there once was in the 90's.
Layout Editors have grown in complexity and function to deal with the ever growing device count and issues that weren't issues before when device counts were smaller and geometries were much larger.
Layout Editors have started to incorporate other tools to see parasitics since RF and smaller geometries have been introduced. Layout engineers are sometimes called physical designers since a lot of layout is generated by the machine in digital blocks. This is done by tools such as Cadence Encounter or Synopsys tools. Yet since a drawn wire in the layout editor is a perfectly ideal that doesn't show the reality of the physical chip geometries. Wires are actually more like imperfect strands with some areas thinner and thicker than other areas. Ends are more rounded instead of perfectly square on the layout editor. Sometimes these imperfections need to be reflected or extracted by the layout editor and fed back to the circuit designer so that they can run what is called RCX simulation to take account of these physical parasitics.

In some cases the layout engineer will request minor changes to the schematic to simplify the layout.

Very rarely, socialism works

Related Research Articles

Computer-aided design Constructing a product by means of computer

Computer-aided design (CAD) is the use of computers to aid in the creation, modification, analysis, or optimization of a design. CAD software is used to increase the productivity of the designer, improve the quality of design, improve communications through documentation, and to create a database for manufacturing. CAD output is often in the form of electronic files for print, machining, or other manufacturing operations. The term CADD is also used.

A schematic, or schematic diagram, is a representation of the elements of a system using abstract, graphic symbols rather than realistic pictures. A schematic usually omits all details that are not relevant to the key information the schematic is intended to convey, and may include oversimplified elements in order to make this essential meaning easier to grasp. For example, a subway map intended for passengers may represent a subway station with a dot. The dot is not intended to resemble the actual station at all; instead, it aims to give the viewer information without unnecessary visual clutter. A schematic diagram of a chemical process uses symbols in place of detailed representations of the vessels, piping, valves, pumps, and other equipment that compose the system; in so doing, it emphasizes the functions of these individual elements--and the interconnections among them--and suppresses their particular physical details. In an electronic circuit diagram, the layout of the symbols may not look anything like the circuit as it appears in the physical world: instead of representing the way the circuit looks, the schematic aims to capture, on a more general level, the way it works.

Application-specific integrated circuit Integrated circuit customized (typically optimized) for a specific task

An application-specific integrated circuit is an integrated circuit (IC) customized for a particular use, rather than intended for general-purpose use. For example, a chip designed to run in a digital voice recorder or a high-efficiency bitcoin miner is an ASIC. Application-specific standard products (ASSPs) are intermediate between ASICs and industry standard integrated circuits like the 7400 series or the 4000 series.

Circuit diagram Graphical representation of an electrical circuit

A circuit diagram is a graphical representation of an electrical circuit. A pictorial circuit diagram uses simple images of components, while a schematic diagram shows the components and interconnections of the circuit using standardized symbolic representations. The presentation of the interconnections between circuit components in the schematic diagram does not necessarily correspond to the physical arrangements in the finished device.

Integrated circuit layout representation of an integrated circuit in terms of planar geometric shapes which correspond to the patterns of metal, oxide, or semiconductor layers that make up the components of the integrated circuit

Integrated circuit layout, also known IC layout, IC mask layout, or mask design, is the representation of an integrated circuit in terms of planar geometric shapes which correspond to the patterns of metal, oxide, or semiconductor layers that make up the components of the integrated circuit. Originally the overall process was called tapeout as historically early ICs used graphical black crepe tape on mylar media for photo imaging.

Place and route is a stage in the design of printed circuit boards, integrated circuits, and field-programmable gate arrays. As implied by the name, it is composed of two steps, placement and routing. The first step, placement, involves deciding where to place all electronic components, circuitry, and logic elements in a generally limited amount of space. This is followed by routing, which decides the exact design of all the wires needed to connect the placed components. This step must implement all the desired connections while following the rules and limitations of the manufacturing process.

In electronics engineering, a design rule is a geometric constraint imposed on circuit board, semiconductor device, and integrated circuit (IC) designers to ensure their designs function properly, reliably, and can be produced with acceptable yield. Design rules for production are developed by process engineers based on the capability of their processes to realize design intent. Electronic design automation is used extensively to ensure that designers do not violate design rules; a process called design rule checking (DRC). DRC is a major step during physical verification signoff on the design, which also involves LVS checks, XOR checks, ERC, and antenna checks. The importance of design rules and DRC is greatest for ICs, which have micro- or nano-scale geometries; for advanced processes, some fabs also insist upon the use of more restricted rules to improve yield.

VLSI Technology, Inc., was a company that designed and manufactured custom and semi-custom integrated circuits (ICs). The company was based in Silicon Valley, with headquarters at 1109 McKay Drive in San Jose. Along with LSI Logic, VLSI Technology defined the leading edge of the application-specific integrated circuit (ASIC) business, which accelerated the push of powerful embedded systems into affordable products.

CADSTAR

CADSTAR is a Windows-based electronic design automation (EDA) software tool for designing and creating schematic diagrams and printed circuit boards (PCBs). It provides engineers with a tool for designing simple or complex, multilayer PCBs. CADSTAR spans schematic capture, variant management, placement, automatic and high-speed routing, signal integrity, power integrity, EMC analysis, design rule checks and production of manufacturing data.

Standard cell group of transistor and interconnect structures that provides a boolean logic function; used to design application-specific integrated circuits with mostly digital-logic features

In semiconductor design, standard cell methodology is a method of designing application-specific integrated circuits (ASICs) with mostly digital-logic features. Standard cell methodology is an example of design abstraction, whereby a low-level very-large-scale integration (VLSI) layout is encapsulated into an abstract logic representation. Cell-based methodology — the general class to which standard cells belong — makes it possible for one designer to focus on the high-level aspect of digital design, while another designer focuses on the implementation (physical) aspect. Along with semiconductor manufacturing advances, standard cell methodology has helped designers scale ASICs from comparatively simple single-function ICs, to complex multi-million gate system-on-a-chip (SoC) devices.

OrCAD electronic design automation software

OrCAD Systems Corporation was a software company that made OrCAD, a proprietary software tool suite used primarily for electronic design automation (EDA). The software is used mainly by electronic design engineers and electronic technicians to create electronic schematics, perform mixed-signal simulation and electronic prints for manufacturing printed circuit boards. OrCAD was taken over by Cadence Design Systems in 1999 and was integrated with Cadence Allegro since 2005.

Integrated circuit design Engineering process for electronic hardware

Integrated circuit design, or IC design, is a subset of electronics engineering, encompassing the particular logic and circuit design techniques required to design integrated circuits, or ICs. ICs consist of miniaturized electronic components built into an electrical network on a monolithic semiconductor substrate by photolithography.

Transistors are simple devices with complicated behavior. In order to ensure the reliable operation of circuits employing transistors, it is necessary to scientifically model the physical phenomena observed in their operation using transistor models. There exists a variety of different models that range in complexity and in purpose. Transistor models divide into two major groups: models for device design and models for circuit design.

Physical verification is a process whereby an integrated circuit layout design is verified via EDA software tools to ensure correct electrical and logical functionality and manufacturability. Verification involves design rule check (DRC), layout versus schematic (LVS), XOR, antenna checks and electrical rule check (ERC).

The Layout Versus Schematic (LVS) is the class of electronic design automation (EDA) verification software that determines whether a particular integrated circuit layout corresponds to the original schematic or circuit diagram of the design.

Electric (software) electronic design automation software tool

The Electric VLSI Design System is an EDA tool written in the early 1980s by Steven M. Rubin. Electric is used to draw schematics and to do integrated circuit layout. It can also handle hardware description languages such as VHDL and Verilog. The system has many analysis and synthesis tools, including Design rule checking, Simulation, Routing, Layout vs. Schematic, Logical Effort, and more.

Physical design (electronics)

In integrated circuit design, physical design is a step in the standard design cycle which follows after the circuit design. At this step, circuit representations of the components of the design are converted into geometric representations of shapes which, when manufactured in the corresponding layers of materials, will ensure the required functioning of the components. This geometric representation is called integrated circuit layout. This step is usually split into several sub-steps, which include both design and verification and validation of the layout.

In electronic design automation, parasitic extraction is calculation of the parasitic effects in both the designed devices and the required wiring interconnects of an electronic circuit: parasitic capacitances, parasitic resistances and parasitic inductances, commonly called parasitic devices, parasitic components, or simply parasitics.