Timothy M. Pinkston

Last updated
  1. 1 2 3 "Timothy M. Pinkston".
  2. "Timothy Pinkston - Google Scholar".
  3. "IEEE Elects Three New Fellows From USC". 26 January 2009.
  4. "2019 ACM Fellows Recognized for Far-Reaching Accomplishments that Define the Digital Age".
  5. "The USC Engineering Story".
  6. Bekey, George A.; Bekey, Michelle; Robert, Calverley (2015-04-08). A Remarkable Trajectory: From Humble Beginnings to Global Prominence. ISBN   978-1511619264.
  7. Pinkston, T. M. (1992). "The glori strategy for multiprocessors : integrating optics into the interconnect architecture".
  8. "Timothy Pinkston Appointed USC Viterbi School Associate Dean". YouTube .
  9. "The Leadership Team".
  10. "Electrical Engineering – Ming Hsieh Department of Electrical Engineering".
  11. "SMART Lab".
  12. Hennessy, John L.; Patterson, David A.; Asanović, Krste (2012). Computer Architecture: A Quantitative Approach. ISBN   978-0123838728.
  13. "Expeditions in Computing".
  14. "Foundations of Computing Processes and Artifacts (CPA)".
  15. "Multicore Chip Design and Architecture: (MCDA)".
  16. "Technical Committee on Computer Architecture".
  17. "SIGARCH CARES to Report on Discrimination and Harassment". March 2018.
  18. Warnakulasuriya, S.; Pinkston, T.M. (1997). "Characterization of deadlocks in interconnection networks". Proceedings 11th International Parallel Processing Symposium. pp. 80–86. doi:10.1109/IPPS.1997.580852. ISBN   0-8186-7793-7. S2CID   5104361.
  19. Zhou, Mengchu; Fanti, Maria Pia (2004-12-27). Deadlock Resolution in Computer-Integrated Systems. ISBN   9780824753689.
  20. Anjan, K.V.; Pinkston, T.M. (1995). "An efficient, fully adaptive deadlock recovery scheme: DISHA". Proceedings 22nd Annual International Symposium on Computer Architecture. pp. 201–210. doi:10.1109/ISCA.1995.524561. ISBN   0-89791-698-0. S2CID   195868754.
  21. Yong Ho Song; Pinkston, T.M. (2003). "A progressive approach to handling message-dependent deadlock in parallel computer systems". IEEE Transactions on Parallel and Distributed Systems. 14 (3): 259–275. doi:10.1109/TPDS.2003.1189584.
  22. Duato, J.; Pinkston, T.M. (2001). "A general theory for deadlock-free adaptive routing using a mixed set of resources". IEEE Transactions on Parallel and Distributed Systems. 12 (12): 1219–1235. doi:10.1109/71.970556.
  23. Pinkston, T.M.; Ruoming Pang; Duato, J. (2003). "Deadlock-free dynamic reconfiguration schemes for increased network dependability". IEEE Transactions on Parallel and Distributed Systems. 14 (8): 780–794. doi:10.1109/TPDS.2003.1225057.
  24. Chen, Lizhong; Pinkston, Timothy M. (2012). "NoRD: Node-Router Decoupling for Effective Power-gating of On-Chip Routers". 2012 45th Annual IEEE/ACM International Symposium on Microarchitecture. pp. 270–281. doi:10.1109/MICRO.2012.33. ISBN   978-1-4673-4819-5. S2CID   14539745.
  25. "Alumni pay forward to support diversity in engineering". 22 July 2019.
Timothy Mark Pinkston
Born (1964-03-15) March 15, 1964 (age 58)
AwardsACM Fellow
IEEE Fellow
Distinguished Alumnus Award (OSU)
Academic background
EducationB.Sc., Electrical Engineering
M.Sc., Electrical Engineering
Ph.D., Electrical Engineering
Alma mater Ohio State University
Stanford University
Doctoral advisor Michael J. Flynn (primary)
Joseph W. Goodman (secondary)