International Symposium on Computer Architecture

Last updated
ISCA, International Symposium on Computer Architecture
StatusActive
GenreComputer Architecture Conference
Inaugurated1973
Most recent2023 (Orlando, Florida)
Organized by ACM SIGARCH and IEEE Computer Society
Website iscaconf.org

The International Symposium on Computer Architecture (ISCA) is an annual academic conference on computer architecture, generally viewed as the top-tier in the field. [1] Association for Computing Machinery's Special Interest Group on Computer Architecture (ACM SIGARCH) and Institute of Electrical and Electronics Engineers Computer Society are technical sponsors.

Contents

ISCA has participated in the Federated Computing Research Conference in 1993, 1996, 1999, 2003, 2007, 2011, 2015, 2019, and 2023; every year that the conference has been organized.

Influential Paper Award

The ISCA Influential Paper Award is presented annually at ISCA by SIGARCH and TCCA. [2] The award is given for the paper with the most impact in the field (in the area of research, development, products, or ideas) from the conference 15 years ago. [3]

Prior recipients include:

Related Research Articles

Speculative execution is an optimization technique where a computer system performs some task that may not be needed. Work is done before it is known whether it is actually needed, so as to prevent a delay that would have to be incurred by doing the work after it is known that it is needed. If it turns out the work was not needed after all, most changes made by the work are reverted and the results are ignored.

<span class="mw-page-title-main">John L. Hennessy</span> American computer scientist

John Leroy Hennessy is an American computer scientist who serves as chairman of Alphabet Inc. (Google). Hennessy is one of the founders of MIPS Technologies and Atheros while also serving as the tenth President of Stanford University. Hennessy announced that he would step down in the summer of 2016. He was succeeded as president by Marc Tessier-Lavigne. Marc Andreessen called him "the godfather of Silicon Valley."

Cache only memory architecture (COMA) is a computer memory organization for use in multiprocessors in which the local memories at each node are used as cache. This is in contrast to using the local memories as actual main memory, as in NUMA organizations.

<span class="mw-page-title-main">Michael J. Flynn</span> American academic

Michael J. Flynn is an American professor emeritus at Stanford University.

Thread Level Speculation (TLS), also known as Speculative Multi-threading, or Speculative Parallelization, is a technique to speculatively execute a section of computer code that is anticipated to be executed later in parallel with the normal execution on a separate independent thread. Such a speculative thread may need to make assumptions about the values of input variables. If these prove to be invalid, then the portions of the speculative thread that rely on these input variables will need to be discarded and squashed. If the assumptions are correct the program can complete in a shorter time provided the thread was able to be scheduled efficiently.

Maurice Peter Herlihy is a computer scientist active in the field of multiprocessor synchronization. Herlihy has contributed to areas including theoretical foundations of wait-free synchronization, linearizable data structures, applications of combinatorial topology to distributed computing, as well as hardware and software transactional memory. He is the An Wang Professor of Computer Science at Brown University, where he has been a member of the faculty since 1994.

In cache coherency protocol literature, Write-Once was the first MESI protocol defined. It has the optimization of executing write-through on the first write and a write-back on all subsequent writes, reducing the overall bus traffic in consecutive writes to the computer memory. It was first described by James R. Goodman in (1983). Cache coherence protocols are an important issue in Symmetric multiprocessing systems, where each CPU maintains a cache of the memory.

<span class="mw-page-title-main">James R. Goodman</span> American computer scientist

James Richard "Jim" Goodman retired as professor of computer science at the University of Auckland in Auckland, New Zealand, and emeritus professor at the University of Wisconsin–Madison.

The Annual ACM Symposium on Theory of Computing (STOC) is an academic conference in the field of theoretical computer science. STOC has been organized annually since 1969, typically in May or June; the conference is sponsored by the Association for Computing Machinery special interest group SIGACT. Acceptance rate of STOC, averaged from 1970 to 2012, is 31%, with the rate of 29% in 2012.

<span class="mw-page-title-main">Kathryn S. McKinley</span> American computer scientist

Kathryn S. McKinley is an American computer scientist noted for her research on compilers, runtime systems, and computer architecture. She is also known for her leadership in broadening participation in computing. McKinley was co-chair of CRA-W from 2011 to 2014.

Margaret Martonosi is an American computer scientist who is currently the Hugh Trumbull Adams '35 Professor of Computer Science at Princeton University. Martonosi is noted for her research in computer architecture and mobile computing with a particular focus on power-efficiency.

Susan J. Eggers is an American computer scientist noted for her research on computer architecture and compilers.

Cache prefetching is a technique used by computer processors to boost execution performance by fetching instructions or data from their original storage in slower memory to a faster local memory before it is actually needed. Most modern computer processors have fast and local cache memory in which prefetched data is held until it is required. The source for the prefetch operation is usually main memory. Because of their design, accessing cache memories is typically much faster than accessing main memory, so prefetching data and then accessing it from caches is usually many orders of magnitude faster than accessing it directly from main memory. Prefetching can be done with non-blocking cache control instructions.

<span class="mw-page-title-main">Babak Falsafi</span>

Babak Falsafi is a computer scientist specializing in computer architecture and digital platform design. He is the founding director of EcoCloud at EPFL, an industrial/academic consortium investigating efficient and intelligent data-centric technologies. He is a professor in the School of Computer and Communication Sciences at EPFL. Prior to that he was a professor of electrical and computer engineering at Carnegie Mellon University, and an assistant professor of electrical and computer engineering at Purdue University. He holds a bachelor's degree in computer science, a bachelor's degree in electrical and computer engineering with distinctions from SUNY Buffalo, and a master's degree and PhD in computer science from University Wisconsin - Madison.

<span class="mw-page-title-main">ACM SIGARCH</span> ACMs Special Interest Group on computer architecture

ACM SIGARCH is the Association for Computing Machinery's Special Interest Group on computer architecture, a community of computer professionals and students from academia and industry involved in research and professional practice related to computer architecture and design. The organization sponsors many prestigious international conferences in this area, including the International Symposium on Computer Architecture (ISCA), recognized as the top conference in this area since 1975. Together with IEEE Computer Society's Technical Committee on Computer Architecture (TCCA), it is one of the two main professional organizations for people working in computer architecture.

Mark D. Hill is a computer scientist and professor at the University of Wisconsin–Madison. He has been cited over 27,000 times.

Norman Paul Jouppi is an American electrical engineer and computer scientist.

Timothy M. Pinkston is an American computer engineer, researcher, educator and administrator whose work is focused in the area of computer architecture. He holds the George Pfleger Chair in Electrical and Computer Engineering and is a Professor of Electrical and Computer Engineering at University of Southern California (USC). He also serves in an administrative role as Vice Dean for Faculty Affairs at the USC Viterbi School of Engineering.

A deep learning processor (DLP), or a deep learning accelerator, is an electronic circuit designed for deep learning algorithms, usually with separate data memory and dedicated instruction set architecture. Deep learning processors range from mobile devices, such as neural processing units (NPUs) in Apple iPhones or Huawei cellphones, and personal computers such as Apple silicon Macs, to cloud computing servers such as tensor processing units (TPU) in the Google Cloud Platform.

Trevor Mudge is a computer scientist, academic and researcher. He is the Bredt Family Chair of Computer Science and Engineering, and Professor of Electrical Engineering and Computer Science at the University of Michigan.

References

  1. "Computer Science Conference Rank". lipn.univ-paris13.fr. Archived from the original on 2017-06-04. Retrieved 2017-06-04.
  2. "ACM SIGARCH Awards and Honors". ACM SIGARCH. SIGARCH. 2011-07-09. Retrieved 4 June 2017.
  3. "ACM SIGARCH/IEEE-CS TCCA Influential ISCA Paper Award". IEEE Computer Society. IEEE. Retrieved 4 June 2017.
  4. Manerkar, Yatin (14 June 2022). "ISCA 2022 Trip Report". ACM SIGARCH .
  5. 1 2 3 "Influential ISCA Paper Award". ieeetcca.org. Retrieved 1 August 2022.
  6. Flautner, K.; Nam Sung Kim; Martin, S.; Blaauw, D.; Mudge, T. (2002). "Drowsy caches: simple techniques for reducing leakage power". Proceedings 29th Annual International Symposium on Computer Architecture. IEEE. pp. 148–157. doi:10.1109/ISCA.2002.1003572. ISBN   0-7695-1605-X. S2CID   5239872.
  7. "2017 ISCA Influential Paper Award for Groundbreaking Research in Power-efficient Computing". Electrical Engineering and Computer Science. University of Michigan . Retrieved 4 June 2017.
  8. Fields, Brian; Rubin, Shai; Bodík, Rastislav (2001). "Focusing processor policies via critical-path prediction". Proceedings of the 28th annual international symposium on Computer architecture - ISCA '01. ACM. pp. 74–85. doi:10.1145/379240.379253. ISBN   0769511627 . Retrieved 4 June 2017.{{cite book}}: |website= ignored (help)
  9. "UW CSE's Ras Bodik wins Influential Paper Award at ISCA 2016". Paul G. Allen School of Computer Science & Engineering. University of Washington . Retrieved 4 June 2017.
  10. Brooks, David; Tiwari, Vivek; Martonosi, Margaret (May 2000). "Wattch: A framework for architectural-level power analysis and optimizations". Proceedings of the 27th annual international symposium on Computer architecture - ISCA '00. ACM. pp. 83–94. doi:10.1145/339647.339657. ISBN   9781581132328. S2CID   14134385 . Retrieved 4 June 2017.{{cite book}}: |journal= ignored (help)
  11. "MARTONOSI AND BROOKS RECEIVE ISCA INFLUENTIAL PAPER AWARD". Center for Future Architectures Research. CFAR. Retrieved 4 June 2017.
  12. Copen, Goldsteinseth; Reed, Taylorr (May 1999). "PipeRench: a co/processor for streaming multimedia acceleration". ACM SIGARCH Computer Architecture News. ACM: 28–39. ISBN   9780769501703 . Retrieved 4 June 2017.
  13. "CS Professor Dirk Grunwald and his students win influential paper award". Computer Science. University of Colorado Boulder. 2013-10-25. Retrieved 4 June 2017.
  14. Martonosi, Margaret (2014). "2013 International Symposium on Computer Architecture Influential Paper Award". IEEE Micro. IEEE. 34: 91–92. doi: 10.1109/MM.2013.132 .
  15. Palacharla, Subbarao; Jouppi, Norman P.; Smith, J. E. (1997). "Complexity-effective superscalar processors". Proceedings of the 24th annual international symposium on Computer architecture - ISCA '97. ACM. pp. 206–218. doi:10.1145/264107.264201. ISBN   0897919017. S2CID   582958 . Retrieved 4 June 2017.{{cite book}}: |website= ignored (help)
  16. The Stanford FLASH multiprocessor. ACM. April 1994. pp. 302–313. ISBN   9780818655104 . Retrieved 4 June 2017.{{cite book}}: |website= ignored (help)
  17. B, Mossj Eliot (May 1993). "Transactional memory: Architectural support for lock-free data structures". Proceedings of the 20th annual international symposium on Computer architecture - ISCA '93. ACM. pp. 289–300. doi:10.1145/165123.165164. ISBN   9780818638107 . Retrieved 4 June 2017.{{cite book}}: |journal= ignored (help)
  18. "Maurice Herlihy Honored with ISCA Influential Paper Award". Computer Science. Brown University . Retrieved 4 June 2017.
  19. N, Pattyale (April 1992). "Alternative implementations of two-level adaptive branch prediction". Proceedings of the 19th annual international symposium on Computer architecture - ISCA '92. ACM. pp. 124–134. doi: 10.1145/139669.139709 . ISBN   0897915097. S2CID   53114486.{{cite book}}: |journal= ignored (help)
  20. P, Changpohua; A, Mahlkescott; Y, Chenwilliam; J, Warternancy; W, Hwuwen-mei (April 1991). "IMPACT: An architectural framework for multiple-instruction-issue processors". Proceedings of the 18th annual international symposium on Computer architecture - ISCA '91. ACM. pp. 266–275. doi:10.1145/115952.115979. ISBN   9780897913942. S2CID   15751065 . Retrieved 4 June 2017.{{cite book}}: |journal= ignored (help)
  21. Jouppi, N.P. (1990). "Improving direct-mapped cache performance by the addition of a small fully-associative cache and prefetch buffers". [1990] Proceedings. The 17th Annual International Symposium on Computer Architecture. IEEE. pp. 364–373. doi:10.1109/ISCA.1990.134547. ISBN   0-8186-2047-1. S2CID   6157765.
  22. "Prof. Scott Mahlke Receives 2006 Influential ISCA Paper Award". Electrical Engineering and Computer Science. University of Michigan. Retrieved 4 June 2017.
  23. Przybylski, S.; Horowitz, M.; Hennessy, J. (1989). "Characteristics of performance-optimal multi-level cache hierarchies". Proceedings of the 16th annual international symposium on Computer architecture - ISCA '89. ACM. pp. 114–121. doi:10.1145/74925.74939. ISBN   0897913191. S2CID   3353427 . Retrieved 4 June 2017.{{cite book}}: |website= ignored (help)
  24. On the inclusion properties for multi-level cache hierarchies. ACM. 17 May 1988. pp. 73–80. ISBN   9780818608612 . Retrieved 4 June 2017.{{cite book}}: |website= ignored (help)