Carl Zeiss SMT

Last updated
Carl Zeiss SMT GmbH
Company typeGmbH (Germany)
IndustrySemiconductor manufacturing technology
Founded2001
Headquarters Oberkochen, Germany
Key people
  • Andreas Pecher
  • Torsten Reitze
Revenue 3.555 billion (2022/23) [1]
Number of employees
7,500 [1]  (2022)
Website www.zeiss.com/semiconductor-manufacturing-technology/home.html

Carl Zeiss SMT GmbH comprises the Semiconductor Manufacturing Technology business group of ZEISS and develops and produces equipment for the manufacture of microchips. The company is majority owned by Carl Zeiss AG, with a 24.9% minority stake by ASML Holding. [2]

Contents

The headquarters of the group are located in Oberkochen, Germany, with additional sites in the German cities Jena, Wetzlar, Rossdorf, Aachen, Dublin (USA), Danvers (USA) and Bar Lev (Israel). As of September 2022, the total workforce in the eight sites is approximately 7,500. [1]

History

In 1968, ZEISS supplied the optics for a circuit printer for the first time. [3] About nine years later, the world's first predecessor to a modern wafer stepper, produced by David Mann (later GCA), was equipped with optics from Carl Zeiss. [4] In 1983, the first lithography optics from ZEISS were used in a wafer stepper from Philips. Just under ten years later, ZEISS and Philips carve-out company ASML entered into a strategic partnership. [5] The Semiconductor Manufacturing Technology business group was established by ZEISS in 1994. Carl Zeiss SMT GmbH and its subsidiaries Carl Zeiss Laser Optics GmbH and Carl Zeiss SMS GmbH followed in 2001. The construction of the Semiconductor Manufacturing Technology plant of ZEISS in Oberkochen started the same year, and was completed in 2006. [6] In 2010, the semiconductor area achieved revenues of over a billion euros for the first time. [7] Effective October 2014, the subsidiaries Carl Zeiss Laser Optics and Carl Zeiss SMS GmbH were merged into Carl Zeiss SMT GmbH.

Product areas

Semiconductor manufacturing optics

The ZEISS business group develops and produces optics for semiconductor production. Its core business is lithography optics that forms the centerpiece of a wafer scanner. The development and manufacture of projection optics and the development of illumination systems take place at the Oberkochen site, while the production of most types of illumination system is located in Wetzlar. In addition to lithography optics, the business group is specialized in numerous other optical products, including optical components for lasers that are used as light sources for lithographic systems.

With the further development of the EUV lithography process to High-NA-EUV lithography, Carl Zeiss SMT will soon also enable the semiconductor industry to realize the next generation of microchips: High-NA-EUV lithography enables light from a larger angular range to be used for imaging, allowing up to three times more structures to be imaged on a microchip. [1]

Photomask systems

This area develops and manufactures systems that analyze and repair defects on photomasks and measure and optimize defined mask properties. The photomask contains all the structure information that will be imaged on the wafer with light.

Process control solutions

This product unit develops and manufactures process control solutions to obtain and analyze relevant information (such as microchip volumes) for logic and memory chip production. This enables the semiconductor industry to meet its challenges for the next generation of elements.

Related Research Articles

Photolithography is a process used in the manufacturing of integrated circuits. It involves using light to transfer a pattern onto a substrate, typically a silicon wafer.

<span class="mw-page-title-main">Carl Zeiss AG</span> German optics company

Carl Zeiss AG, branded as ZEISS, is a German manufacturer of optical systems and optoelectronics, founded in Jena, Germany in 1846 by optician Carl Zeiss. Together with Ernst Abbe and Otto Schott he laid the foundation for today's multinational company. The current company emerged from a reunification of Carl Zeiss companies in East and West Germany with a consolidation phase in the 1990s. ZEISS is active in four business segments with approximately equal revenue in almost 50 countries, has 30 production sites and around 25 development sites worldwide.

<span class="mw-page-title-main">Photomask</span> Photolithographic Tool

A photomask is an opaque plate with transparent areas that allow light to shine through in a defined pattern. Photomasks are commonly used in photolithography for the production of integrated circuits to produce a pattern on a thin wafer of material. In semiconductor manufacturing, a mask is sometimes called a reticle.

<span class="mw-page-title-main">Immersion lithography</span> Photolithography technique where there is a layer of water between a lens and a microchip

Immersion lithography is a technique used in semiconductor manufacturing to enhance the resolution and accuracy of the lithographic process. It involves using a liquid medium, typically water, between the lens and the wafer during exposure. By using a liquid with a higher refractive index than air, immersion lithography allows for smaller features to be created on the wafer.

<span class="mw-page-title-main">Schott AG</span> German glass company

Schott AG is a German multinational glass company specializing in the manufacture of glass and glass-ceramics. Headquartered in Mainz, Germany, it is owned by the Carl Zeiss Foundation. The company's founder and namesake, Otto Schott, is credited with the invention of borosilicate glass.

Masklesslithography (MPL) is a photomask-less photolithography-like technology used to project or focal-spot write the image pattern onto a chemical resist-coated substrate by means of UV radiation or electron beam.

Nanolithography (NL) is a growing field of techniques within nanotechnology dealing with the engineering of nanometer-scale structures on various materials.

<span class="mw-page-title-main">Extreme ultraviolet lithography</span> Lithography using 13.5 nm UV light

Extreme ultraviolet lithography is a cutting-edge technology used in the semiconductor industry for manufacturing integrated circuits (ICs). It is a type of photolithography that uses extreme ultraviolet (EUV) light to create intricate patterns on silicon wafers.

<span class="mw-page-title-main">Stepper</span> Photolithographic Tool

A stepper is a device used in the manufacture of integrated circuits (ICs). It is an essential part of the process of photolithography, which creates millions of microscopic circuit elements on the surface of silicon wafers out of which chips are made. It is similar in operation to a slide projector or a photographic enlarger. The ICs that are made form the heart of computer processors, memory chips, and many other electronic devices.

<span class="mw-page-title-main">ASML Holding</span> Dutch manufacturer of semiconductor production equipment

ASML Holding N.V. is a Dutch multinational corporation founded in 1984. ASML specializes in the development and manufacturing of photolithography machines which are used to produce computer chips.

<span class="mw-page-title-main">Phase-shift mask</span> Resolution-improving photomask

Phase-shift masks are photomasks that take advantage of the interference generated by phase differences to improve image resolution in photolithography. There exist alternating and attenuated phase shift masks. A phase-shift mask relies on the fact that light passing through a transparent media will undergo a phase change as a function of its optical thickness.

<span class="mw-page-title-main">SEMATECH</span>

SEMATECH is a not-for-profit consortium that performs research and development to advance chip manufacturing. SEMATECH has broad engagement with various sectors of the R&D community, including chipmakers, equipment and material suppliers, universities, research institutes, and government partners. The group is funded by member dues.

Resolution enhancement technologies are methods used to modify the photomasks in the lithographic processes used to make integrated circuits to compensate for limitations in the optical resolution of the projection systems. These processes allow the creation of features well beyond the limit that would normally apply due to the Rayleigh criterion. Modern technologies allow the creation of features on the order of 5 nanometers (nm), far below the normal resolution possible using deep ultraviolet (DUV) light.

<span class="mw-page-title-main">Jenoptik</span> German integrated photonics group

Jenoptik AG is a Jena, Germany-based integrated photonics company. The company is listed on the Frankfurt Stock Exchange and is included in the TecDAX stock index.

The Fraunhofer Institute for Applied Optics and Precision Engineering (IOF), also referred to as the Fraunhofer IOF, is an institute of the Fraunhofer Society for the Advancement of Applied Research (FHG). The institute is based in Jena. Its activities are attributed to applied research and development in the branch of natural sciences in the field of optics and precision engineering. The institute was founded in 1992.

<span class="mw-page-title-main">Multiple patterning</span> Technique used to increase the number of structures a microchip may contain

Multiple patterning is a class of technologies for manufacturing integrated circuits (ICs), developed for photolithography to enhance the feature density. It is expected to be necessary for the 10 nm and 7 nm node semiconductor processes and beyond. The premise is that a single lithographic exposure may not be enough to provide sufficient resolution. Hence additional exposures would be needed, or else positioning patterns using etched feature sidewalls would be necessary.

<span class="mw-page-title-main">Berthold Leibinger Innovationspreis</span>

The Berthold Leibinger Innovationspreis is an award for given to those who have created applied laser technology and innovations on the application or generation of laser light. It is open to participants worldwide. It is biennially awarded by the German non-profit foundation Berthold Leibinger Stiftung. Three prizes are awarded worth 100,000 euros. The prize winners are selected from eight finalists that present their work person in a jury session. The jury is composed of international experts from different fields.

Computational lithography is the set of mathematical and algorithmic approaches designed to improve the resolution attainable through photolithography. Computational lithography came to the forefront of photolithography technologies in 2008 when the semiconductor industry faced challenges associated with the transition to a 22 nanometer CMOS microfabrication process and has become instrumental in further shrinking the design nodes and topology of semiconductor transistor manufacturing.

<span class="mw-page-title-main">SÜSS MicroTec</span>

Suss Microtec is a supplier of equipment and process solutions for the semiconductor, nano and microsystems technology and related markets with headquarters in Garching near Munich.

Shanghai Micro Electronics Equipment (Group) Co., Ltd. (SMEE), is a manufacturer of semiconductor manufacturing equipment based in Shanghai, China. The company is involved in the research, development, manufacture and sale of lithography scanners and inspection tools to the semiconductor manufacturing industry; it also provides support services to its customers.

References

  1. 1 2 3 4 "Annual Report 2022/23 ZEISS Group". Carl Zeiss AG. Retrieved 2024-02-07.
  2. "ZEISS and ASML Strengthen Partnership for Next Generation of EUV Lithography". www.asml.com. Retrieved 2021-05-11.
  3. Hennings, K. (1967). "Technologische Probleme der Mikrominiaturisierung (Planartechnik)". technica (in German): 2337–2341.
  4. Rai-Choudhury, Prosenjit (Ed.) (1997). Handbook of Microlithography, Micromachining, and Microfabrication. Volume 1: Microlithography. SPIE Press. p. 83.
  5. Benschop, Jos; Rupp, Wolfgang. "Partnership ASML" (PDF). Archived from the original (PDF) on 2014-02-01. Retrieved 2014-04-04.
  6. Paetrow, Stephan (2011). Birds of a Feather. 20 Years of Reunification at Carl Zeiss. Hanseatischer Merkur, Hamburg. p. 111.
  7. "Facts & Figures". Carl Zeiss SMT GmbH. Retrieved 2014-07-14.

Further reading

Carl Zeiss is a top supplier of critical subsystems, in: WaferNEWS, July 7/2003, pp. 4