ASML Holding

Last updated

ASML Holding N.V.
Company type Public
Industry Semiconductor industry
Founded1984;40 years ago (1984)
Headquarters Veldhoven, Netherlands
Key people
Products Photolithography systems for the semiconductor industry
RevenueIncrease2.svg 27.56 billion (2023) [1]
Increase2.svg€9.042 billion (2023) [1]
Increase2.svg€7.839 billion (2023) [1]
Total assets Increase2.svg€39.96 billion (2023) [1]
Total equity Increase2.svg€13.45 billion (2023) [1]
Number of employees
42,416 (2023) [1]
Website asml.com

ASML Holding N.V. (commonly shortened to ASML, originally standing for Advanced Semiconductor Materials Lithography) is a Dutch multinational corporation founded in 1984. ASML specializes in the development and manufacturing of photolithography machines which are used to produce computer chips.

Contents

As of 2023 it is the largest supplier for the semiconductor industry and the sole supplier in the world of extreme ultraviolet lithography (EUV) photolithography machines that are required to manufacture the most advanced chips. [2] As of March 2024, ASML was the most highly valued European tech company, with a market capitalization of about US$397 billion. [3] [4]

ASML's corporate headquarters is in Veldhoven, Netherlands and the location for research, development, manufacturing and assembly. ASML employs more than 39,000 people [1] from 143 nationalities and relies on a network of nearly 5,000 tier 1 suppliers. [5] ASML has a worldwide customer base and over sixty service points in sixteen countries. [5] It has offices in the Netherlands, the United States, Belgium, France, Germany, Ireland, Israel, Italy, the United Kingdom, China, Hong Kong, Japan, South Korea, Malaysia, Singapore, and Taiwan. [5]

The company is listed on both the AEX and NASDAQ Stock Exchanges, as ASML. It is also a component of the Euro Stoxx 50 [6] and NASDAQ-100. [7]

Products

A diagonally cut ASML lens Lens from ASML.jpg
A diagonally cut ASML lens

ASML produces the photolithography machines used in the production of computer chips. In these machines, patterns are optically imaged onto a silicon wafer that is covered with a film of light-sensitive material (photoresist). This procedure is repeated dozens of times on a single wafer. The photoresist is then further processed to create the actual electronic circuits on the silicon. The optical imaging that ASML's machines deal with is used in the fabrication of nearly all integrated circuits and, as of 2011, ASML had 67 percent of the worldwide sales of lithography machines. [8]

ASML's competition consisted of Ultratech, Canon and Nikon, MKS Instruments, Lam Research and Cadence Design Systems.[ citation needed ]

Immersion lithography

Since immersion lithography was first proposed by Burn-Jeng Lin in the 1970s, [9] ASML cooperated with Taiwan Semiconductor Manufacturing (TSMC). In 2004, TSMC began commercial production of 90 nanometer semiconductor nodes using ASML immersion lithography. [10] As of 2011, their high-end TWINSCAN NXT:1950i system was used for producing features down to 32 nanometres at up to 200 wafers per hour, [11] using a water immersion lens and an argon fluoride laser that produces light at a wavelength of 193 nm. As of 2011, an average lithography machine cost 27 million. [12]

DUV lithography

Deep ultraviolet (DUV) lithography devices from ASML use light that penetrates the UV spectrum to print the tiny features that form the microchip's structure. [13]

In 2009, the IMEC research center in Belgium produced the world's first functional 22 nm CMOS Static random-access memory memory cells with a prototype EUV lithography machine. [14] In 2011 series-produced (non-prototype) EUV machines were shipped. [12]

EUV lithography

After decades of development, ASML shipped the first production extreme ultraviolet lithography machine in 2013. [15] These machines produce light in the 13.5 nm wavelength range when a high-energy laser is focused on microscopic droplets of molten tin to produce a plasma, which then emits EUV light. The light is bounced off Zeiss mirrors onto the surface of a silicon wafer to deliver the designs for the chip. [16] ASML's best-selling EUV product has been the TWINSCAN NXE:3600D, which costs up to $200 million. [2] Shipping the machine the size of a truck requires moving 180 tons with three Boeing 747s. [17] As of 2022, ASML has shipped around 140 EUV systems, and it is the only company to manufacture them. [2]

ASML is working on the next generation of EUV systems, with the first shipments to customers for R&D purposes expected to take place at the end of 2023. [15] The platform is designated High-NA as it will increase the numerical aperture (NA) from 0.33 to 0.55, [15] and each system is expected to cost $300 million. [2]

Nanoimprint lithography

In addition to immersion-based lithography and EUV lithography, ASML has a substantial intellectual property portfolio covering imprint lithography. [18]

History

The company, originally named ASM Lithography, is named ASML as its official name and not an abbreviation. [19] It was founded in 1984 as a joint venture between the Dutch companies ASM and Philips. Nowadays it is a public company. When the company became independent in 1988, it was decided that changing the name was not desirable, and the abbreviation ASML became the official company name. [20]

ASML released the lithography system PAS 5500 in 1991, which became an extremely successful platform for the company. [21] The PAS 5500 was first utilized by Micron Technology, which was one of the world's largest producers of computer memory and storage, and ASML's largest customer at that time. [22] The success of the PAS 5500 line propelled ASML into strong competition with Canon and Nikon, who were the leaders in that era of the lithography market. [21]

In 1997, ASML began studying a shift to using extreme ultraviolet and in 1999 joined a consortium including Intel, two other U.S. chipmakers, in order to exploit fundamental research conducted by the US Department of Energy. Because of the CRADA it operates under is funded by the US taxpayer, licensing must be approved by Congress. It collaborated with the Belgian Imec and Sematech and turned to Carl Zeiss in Germany for its need of mirrors. [23]

In 2000, ASML acquired the Silicon Valley Group (SVG), a US lithography equipment manufacturer also licensed for EUV research results, in a bid to supply 193 nm scanners to Intel Corp. [24] [25]

In 2002, it became the largest supplier of photolithography systems. [26]

At the end of 2008, ASML experienced a large drop in sales, which led management to cut the workforce by about 1000 worldwide, mostly contract workers [27] and to apply for support from the Dutch national unemployment fund to prevent even larger layoffs. [28] Two and a half years later, ASML expected a record-high revenue. [29]

In July 2012, Intel announced a deal to invest $4.1 billion into ASML in exchange for 15% ownership, in order to speed up the transition from 300 mm to 450 mm wafers and further development of EUV lithography. [30] [31] This deal was without exclusive rights to future ASML products and, as of July 2012, ASML was offering another 10% of the shares to other companies. [32] As part of their EUV strategy, ASML announced the acquisition of DUV and EUV sources manufacturer Cymer in October 2012. [33]

In November 2013, ASML paused development of 450 mm lithography equipment, citing uncertain timing of chipmaker demand. [34]

In 2015, ASML suffered intellectual property theft. A number of employees had been found stealing confidential data from its Silicon Valley software subsidiary that develops software for machine optimization. [35]

In June 2016, ASML announced their plans to acquire Taiwan-based Hermes Microvision Inc. for about $3.1 billion to add technology for creating smaller and more advanced semiconductors. [36]

In 2018, the Trump administration tried to block the sale of ASML technology to China, [37] but as of 2021, the 2020–present global chip shortage as well as the "technological cold war" between the US and China has been a business opportunity for ASML. [17]

In November 2020, ASML revealed that it had acquired the German optical glassmaking firm Berliner Glas Group in order to meet increasing need for components for its EUV systems. [38]

In July 2021, Thierry Breton European Commissioner, visited ASML and announced a goal of at least 20% of world production of semiconductors in Europe by 2030, and support via a European Alliance on semiconductors. [39] After reporting earnings in July 2021, the company said they had a near monopoly for machines used by TSMC and Samsung Electronics to make the advanced chips. [40]

In February 2023, ASML claimed that a former worker in China "allegedly" stole information about the company's technology. This wasn't the first time that ASML was allegedly linked with an intellectual property breach connected to China, and this latest breach came in the midst of the US-China trade war, which is also called a "chip war". [41] At the time, the United States Department of Commerce expressed concern about economic espionage against ASML. [42] In October 2023, Dutch newspaper NRC Handelsblad reported that the former employee who "allegedly" stole data about ASML's technology subsequently went to work for Huawei. [43]

In March 2023, the Dutch government placed restrictions on chip exports in order to protect national security. This measure affected ASML as one of the most important companies in the global microchip supply chain. [44] Export license requirements came into effect in September 2023. [45]

In June 2023, the Netherlands' Institute for Human Rights ruled that despite the country's constitution prohibiting discrimination based on nationality, ASML was allowed to reject job applications from residents of countries subject to sanctions under the U.S. Export Administration Regulations (such as Cuba, Iran, North Korea, and Syria) in order to remain compliant with U.S. law. [46] [47] [48]

In January 2024, the Dutch government placed further restrictions on the shipment of some advanced chip-making equipment to China. [49]

Finances

Financial data in € millions [1] [50]
Year20132014201520162017201820192020202120222023
Revenue 5 2455 8566 2876 7959 05310 94411 82013 97918 61121 17327 559
Net Income 1 0161 1971 3871 4722 1192 5922 5923 5545 8835 6247 839
Assets 11 51412 20413 29517 20618 19620 13722 63027 26730 23136 30039 958
Employees10 36011 31812 16813 99116 21920 04423 21926 61429 86136 11242 416

Shareholder

Top Institutional Holders [51]
HolderSharesDate Reported% OutValue
Price (T. Rowe) Associates Inc10,991,87829 June 20222.70%5,152,552,838
Capital World Investors6,492,25429 June 20221.60%3,043,309,048
Fisher Asset Management, LLC4,595,74129 June 20221.13%2,154,299,596
Capital International Investors3,919,23929 June 20220.96%1,837,182,511
Morgan Stanley3,156,57429 June 20220.78%1,479,675,659
WCM Investment Management, LLC3,089,50329 September 20220.76%1,448,235,456
Edgewood Management Company2,919,49829 June 20220.72%1,368,543,910
State Farm Mutual Automobile Insurance Co2,834,22529 June 20220.70%1,328,571,338
FMR, LLC2,763,69529 June 20220.68%1,295,509,695
Sands Capital Management, LLC1,942,74029 June 20220.48%910,678,821
Top Mutual Fund Holders [52]
HolderSharesDate Reported% OutValue
American Balanced Fund2,523,70229 September 20220.62%1,183,010,574
Growth Fund Of America Inc2,407,39529 September 20220.59%1,128,490,503
iShares Core MSCI EAFE ETF2,138,91929 September 20220.53%1,002,639,691
Washington Mutual Investors Fund2,138,04029 September 20220.53%1,002,227,651
Advisors Inner Circle Fund-Edgewood Growth Fd1,623,69429 June 20220.40%761,122,815
Price (T.Rowe) Growth Stock Fund Inc.1,627,21629 June 20220.40%762,773,788
iShares MSCI Eafe ETF1,319,87429 September 20220.32%618,704,149
Invesco ETF Tr-Invesco QQQ Tr, Series 1 ETF1,264,16129 September 20220.31%592,588,122
New Perspective Fund Inc1,217,87829 September 20220.30%570,892,503
Investment Managers Ser Tr-WCM Focused International Growth Fd1,197,95230 July 20220.29%561,551,991

Awards

Related Research Articles

Photolithography is a process used in the manufacturing of integrated circuits. It involves using light to transfer a pattern onto a substrate, typically a silicon wafer.

<span class="mw-page-title-main">Photomask</span> Photolithographic Tool

A photomask is an opaque plate with transparent areas that allow light to shine through in a defined pattern. Photomasks are commonly used in photolithography for the production of integrated circuits to produce a pattern on a thin wafer of material. In semiconductor manufacturing, a mask is sometimes called a reticle.

<span class="mw-page-title-main">Planar process</span> Process used to make microchips

The planar process is a manufacturing process used in the semiconductor industry to build individual components of a transistor, and in turn, connect those transistors together. It is the primary process by which silicon integrated circuit chips are built, and it is the most commonly used method of producing junctions during the manufacture of semiconductor devices. The process utilizes the surface passivation and thermal oxidation methods.

<span class="mw-page-title-main">Semiconductor Manufacturing International Corporation</span> Chinese semiconductor foundry

Semiconductor Manufacturing International Corporation (SMIC) is a partially state-owned publicly listed Chinese pure-play semiconductor foundry company. It is the largest contract chip maker in mainland China.

Nanolithography (NL) is a growing field of techniques within nanotechnology dealing with the engineering of nanometer-scale structures on various materials.

<span class="mw-page-title-main">Extreme ultraviolet lithography</span> Lithography using 13.5 nm UV light

Extreme ultraviolet lithography is a cutting-edge technology used in the semiconductor industry for manufacturing integrated circuits (ICs). It is a type of photolithography that uses extreme ultraviolet (EUV) light to create intricate patterns on silicon wafers.

Next-generation lithography or NGL is a term used in integrated circuit manufacturing to describe the lithography technologies in development which are intended to replace current techniques. Driven by Moore's law in the semiconductor industries, the shrinking of the chip size and critical dimension continues. The term applies to any lithography method which uses a shorter-wavelength light or beam type than the current state of the art, such as X-ray lithography, electron beam lithography, focused ion beam lithography, and nanoimprint lithography. The term may also be used to describe techniques which achieve finer resolution features from an existing light wavelength.

<span class="mw-page-title-main">Stepper</span> Photolithographic Tool

A stepper or wafer stepper is a device used in the manufacture of integrated circuits (ICs). It is an essential part of the process of photolithography, which creates millions of microscopic circuit elements on the surface of silicon wafers out of which chips are made. It is similar in operation to a slide projector or a photographic enlarger. The ICs that are made form the heart of computer processors, memory chips, and many other electronic devices.

The "32 nm" node is the step following the "45 nm" process in CMOS (MOSFET) semiconductor device fabrication. "32-nanometre" refers to the average half-pitch of a memory cell at this technology level.

In semiconductor fabrication, the International Technology Roadmap for Semiconductors (ITRS) defines the "10 nanometer process" as the MOSFET technology node following the "14 nm" node.

Computational lithography is the set of mathematical and algorithmic approaches designed to improve the resolution attainable through photolithography. Computational lithography came to the forefront of photolithography technologies in 2008 when the semiconductor industry faced challenges associated with the transition to a 22 nanometer CMOS microfabrication process and has become instrumental in further shrinking the design nodes and topology of semiconductor transistor manufacturing.

The argon fluoride laser is a particular type of excimer laser, which is sometimes called an exciplex laser. With its 193-nanometer wavelength, it is a deep ultraviolet laser, which is commonly used in the production of semiconductor integrated circuits, eye surgery, micromachining, and scientific research. "Excimer" is short for "excited dimer", while "exciplex" is short for "excited complex". An excimer laser typically uses a mixture of a noble gas and a halogen gas, which under suitable conditions of electrical stimulation and high pressure, emits coherent stimulated radiation in the ultraviolet range.

In semiconductor manufacturing, the International Roadmap for Devices and Systems defines the "5 nm" process as the MOSFET technology node following the "7 nm" node. In 2020, Samsung and TSMC entered volume production of "5 nm" chips, manufactured for companies including Apple, Marvell, Huawei and Qualcomm.

In semiconductor manufacturing, the "7 nm" process is a marketing term for the MOSFET technology node following the "10 nm" node, defined by the International Technology Roadmap for Semiconductors. It is based on FinFET technology, a type of multi-gate MOSFET technology.

<span class="mw-page-title-main">Carl Zeiss SMT</span>

Carl Zeiss SMT GmbH comprises the Semiconductor Manufacturing Technology business group of ZEISS and develops and produces equipment for the manufacture of microchips. The company is majority owned by Carl Zeiss AG, with a 24.9% minority stake by ASML Holding.

In semiconductor manufacturing, the "3 nm" process is the next die shrink after the "5 nm" MOSFET technology node. South Korean chipmaker Samsung started shipping its "3 nm" gate all around (GAA) process, named "3GAA", in mid-2022. On 29 December 2022, Taiwanese chip manufacturer TSMC announced that volume production using its "3 nm" semiconductor node ("N3") was under way with good yields. An enhanced "3 nm" chip process called "N3E" may have started production in 2023. American manufacturer Intel planned to start 3 nm production in 2023.

In semiconductor manufacturing, the "2 nm process" is the next MOSFET die shrink after the "3 nm" process node.

The 2020–2023 global chip shortage was a worldwide chip shortage affecting more than 169 industries. The crisis led to major price increases, long queues, and reselling among consumers and manufacturers for automobiles, graphics cards, video game consoles, computers, household appliances, and other consumer electronics that require integrated circuits.

<span class="mw-page-title-main">Artificial Intelligence Cold War</span> Geopolitical narrative

The Artificial Intelligence Cold War is a narrative in which tensions between the United States of America and the People's Republic of China lead to a second Cold War waged in the area of artificial intelligence technology rather than in the areas of nuclear capabilities or ideology. The context of the AI Cold War narrative is the AI arms race, which involves a build-up of military capabilities using AI technology by the US and China and the usage of increasingly advanced semiconductors which power those capabilities.

<span class="mw-page-title-main">Shanghai Micro Electronics Equipment</span> SMEE, Chinese lithography machhine manufacturer

Shanghai Micro Electronics Equipment (Group) Co., Ltd. (SMEE), is a semiconductor manufacturing equipment company based in Shanghai, China. The company is involved in the research, development, manufacture and sale of lithography scanners and inspection tools to the semiconductor manufacturing industry; it also provides support services to its customers.

References

  1. 1 2 3 4 5 6 7 8 "ASML 2023 Annual Report (Form 20-F)". US Securities and Exchange Commission. 14 February 2024. Archived from the original on 14 February 2024.
  2. 1 2 3 4 Tarasov, Katie (23 March 2022). "ASML is the only company making the $200 million machines needed to print every advanced microchip. Here's an inside look". CNBC. Archived from the original on 14 November 2022. Retrieved 30 March 2023.
  3. "Largest tech companies by market cap". CompaniesMarketcap.com. 9 April 2023. Archived from the original on 9 November 2022. Retrieved 9 April 2023.
  4. O'Grady, Carmel; Kenyon, Matthew (21 February 2023). "How ASML became Europe's most valuable tech firm". BBC News.
  5. 1 2 3 "2022 Combined Annual Report - ASML". ASML. 15 February 2023. Archived from the original on 9 April 2023. Retrieved 9 April 2023.
  6. "ASML HOLDING - Euronext exchange Live quotes". Euronext. Archived from the original on 9 April 2023. Retrieved 9 April 2023.
  7. "Quotes For Nasdaq-100 Index". Nasdaq. Archived from the original on 25 December 2021. Retrieved 9 April 2023.
  8. ASML: Sustainability - performance and targets Archived 14 August 2018 at the Wayback Machine . ASML.com (2011)
  9. "Burn Lin: The 2023 SPIE Mozi Award". spie.org. Archived from the original on 6 May 2023. Retrieved 6 May 2023.
  10. "90 nm Technology". TSMC. 2019. Archived from the original on 26 June 2019. Retrieved 30 June 2019.
  11. ASML Enhances NXT:1950i to Meet Challenging Imaging and Overlay Requirements and Provide a Cost Effective Platform for 22nm Archived 5 April 2012 at the Wayback Machine . Press release, 12 July 2011.
  12. 1 2 Third quarter 2011 results Archived 5 April 2012 at the Wayback Machine . ASML press release.
  13. "ASML EUV lithography systems". www.asml.com. Retrieved 4 July 2023.
  14. IMEC presents functional 22 nm SRAM cells fabricated using EUV technology Archived 1 June 2010 at the Wayback Machine . IMEC press release, 22 April 2009.
  15. 1 2 3 "ASML EUV lithography systems". ASML. Archived from the original on 31 March 2023. Retrieved 30 March 2023.
  16. Patel, Nilay (31 January 2023). "The global battle over chip manufacturing and why the US is trying to stop China from buying machines from the Netherlands". The Verge . Archived from the original on 31 March 2023. Retrieved 30 March 2023.
  17. 1 2 Christoph G. Schmutz (30 July 2021). "Wie die niederländische Firma ASML in den technologischen kalten Krieg zwischen den USA und China geraten ist".
  18. For example, U. S. Patents 7618250, 7692771 and U. S. Patent Applications 20070018360, 20100193994.
  19. "About ASML: Questions and Answers". ASML Holding. Archived from the original on 28 July 2010. Retrieved 3 August 2010.
  20. "ASML: About ASML". 20 February 2014. Archived from the original on 28 July 2010. Retrieved 27 December 2022.
  21. 1 2 Cuofano, Gennaro (11 October 2022). "How Does ASML Make Money? The ASML Business Model In A Nutshell". FourWeekMBA. Archived from the original on 31 March 2023. Retrieved 30 March 2023.
  22. "Three decades of PAS 5500". ASML. Archived from the original on 31 March 2023. Retrieved 30 March 2023.
  23. Clark, Don (4 July 2021). "The Tech Cold War's 'Most Complicated Machine' That's Out of China's Reach". The New York Times. ISSN   0362-4331. Archived from the original on 16 January 2023. Retrieved 9 November 2022.
  24. "ASML drops SVG-developed 193-nm scanner to focus on Twinscan platform | EE Times". Archived from the original on 7 September 2014. Retrieved 7 September 2014.
  25. "Intel kills plan to use SVG's 193-nm scanners in production due to delays | EE Times". Archived from the original on 7 September 2014. Retrieved 7 September 2014.
  26. "Litho-History Milestones" (PDF). www.lithoguru.com.
  27. ASML Takes Action to Adjust Its Organization Due to Severe Order Slowdown Archived 15 March 2010 at the Wayback Machine Press release.
  28. Chip-Equipment Firm ASML Cuts Outlook, Sets Layoffs Archived 25 May 2018 at the Wayback Machine . WSJ.com, 19 December 2008.
  29. ASML Sees Record Year Archived 25 May 2018 at the Wayback Machine . The Wall Street Journal, April 2011.
  30. ASML wins funds for chip technology from Intel Archived 1 November 2021 at the Wayback Machine . Reuters, 10 July 2012.
  31. ASML Announces Customer Co-Investment Program Aimed at Accelerating Innovation Archived 12 July 2012 at the Wayback Machine . ASML Press release, 9 July 2012.
  32. "Intel funds next-gen chipmaking, buys into ASML for $4.1 billion". Reuters. 9 July 2012. Archived from the original on 31 July 2020. Retrieved 5 July 2021.
  33. Archived 8 March 2014 at the Wayback Machine ASML Press release, 17 October 2012
  34. "ASML 2013 Annual Report Form (20-F)" (XBRL). United States Securities and Exchange Commission. 11 February 2014. Archived from the original on 24 September 2015. Retrieved 27 August 2017. In November 2013, following our customers' decision, ASML decided to pause the development of 450 mm lithography systems until customer demand and the timing related to such demand is clear.
  35. Deutsch, Toby Sterling, Anthony (11 April 2019). "ASML says it suffered intellectual property theft, rejects 'Chinese' label". Reuters. Archived from the original on 10 May 2021. Retrieved 26 March 2021.{{cite news}}: CS1 maint: multiple names: authors list (link)
  36. Ian King, Bloomberg. "ASML to Acquire Taiwan's Hermes Microvision for $3.1 Billion Archived 4 September 2016 at the Wayback Machine ." 15 June 2016. 16 June 2016.
  37. "Trump administration pressed Dutch hard to cancel China chip-equipment sale: sources". Reuters. 6 January 2020. Archived from the original on 9 November 2022. Retrieved 9 November 2022.
  38. "ASML acquisition of Berliner Glas Group completed". www.asml.com. Archived from the original on 5 March 2021. Retrieved 26 March 2021.
  39. Thierry Breton (21 May 2021). "Inside the future: Europe's plan to thrive in the global microchip race". European Commission website.
  40. "ASML shares fall on report US wants to restrict sales to China". Reuters. 6 July 2022. Archived from the original on 14 March 2023. Retrieved 14 March 2023.
  41. "US-China chip war: ASML says China employee stole data". BBC News . 16 February 2023. Archived from the original on 16 February 2023. Retrieved 16 February 2023.
  42. "ASML Stolen Data Came From Technical Repository for Chip Machines". Bloomberg News . 15 February 2023. Retrieved 23 October 2023.
  43. Koc, Cagan (23 October 2023). "Ex-ASML Staff Accused of Theft Went to Work for Huawei, NRC Says". Bloomberg News . Retrieved 23 October 2023.
  44. "US-China chip war: Netherlands moves to restrict some exports". BBC News. 9 March 2023. Archived from the original on 9 March 2023. Retrieved 9 March 2023.
  45. Corder, Mike (30 June 2023). "Dutch semiconductor machine export restrictions to come into force in September". AP News . Archived from the original on 30 June 2023. Retrieved 30 June 2023.
  46. mkivit (20 June 2023). "ASML maakt onderscheid op grond van nationaliteit maar dit is niet verboden". RADAR (in Dutch). Retrieved 29 January 2024.
  47. Sterling, Toby (26 June 2023). "Dutch human rights body: ASML may follow US export rules when hiring". Reuters. Retrieved 21 March 2024.
  48. Veiligheid, Ministerie van Justitie en (20 June 2023). "College oordeelt: aannamebeleid ASML levert geen verboden onderscheid op - Nieuwsbericht - College voor de Rechten van de Mens". www.mensenrechten.nl (in Dutch). Retrieved 29 January 2024.
  49. Madhok, Diksha (2 January 2024). "ASML forced to suspend some China exports after US escalates tech battle | CNN Business". CNN. Retrieved 2 January 2024.
  50. "ASML Dividende | KGV | Bilanz | Umsatz | Gewinn". boerse.de (in German). Archived from the original on 26 March 2022. Retrieved 26 March 2022.
  51. "Retrieved 6 November 2022". Archived from the original on 10 October 2022. Retrieved 6 November 2022.
  52. "Retrieved 6 November 2022". Archived from the original on 10 October 2022. Retrieved 6 November 2022.
  53. "IEEE Spectrum Emerging Technology Award ASML 2018 IEEE honors ceremony". ieeetv.ieee.org. 30 May 2018. Archived from the original on 13 November 2022. Retrieved 6 November 2022.
  54. "European Inventor Award 2018". zeiss.com. Archived from the original on 13 November 2022. Retrieved 6 November 2022.
  55. "ASML Man wins top award". eindhovennews.com. 15 May 2019. Archived from the original on 13 November 2022. Retrieved 3 November 2022.
  56. "ASML, BESI, Basic Fit winners Dutch IR Awards 2019". cffcommunications.nl. 11 January 2019. Archived from the original on 13 November 2022. Retrieved 3 November 2022.
  57. "ASML wins semi-Americas Award for EUV". asml.com. Archived from the original on 30 October 2022. Retrieved 30 October 2022.
  58. "ASML earns Intel's 2020 Preferred Quality Supplier PQS Award". marketscreener.com. 30 March 2021. Archived from the original on 30 October 2022. Retrieved 30 October 2022.
  59. "ASML Wins Dutch Innovation Prize". siliconcanals.com. 27 October 2021. Archived from the original on 30 October 2022. Retrieved 30 October 2022.
  60. "ASML and Incooling Win CoSta Award". incooling.com. Archived from the original on 13 November 2022. Retrieved 12 November 2022.

Commons-logo.svg Media related to ASML at Wikimedia Commons