Direct bonding

Last updated

Direct bonding, or fusion bonding, describes a wafer bonding process without any additional intermediate layers. The bonding process is based on chemical bonds between two surfaces of any material possible meeting numerous requirements. [1] These requirements are specified for the wafer surface as sufficiently clean, flat and smooth. Otherwise unbonded areas so called voids, i.e. interface bubbles, can occur. [2]

Contents

The procedural steps of the direct bonding process of wafers any surface is divided into

  1. wafer preprocessing,
  2. pre-bonding at room temperature and
  3. annealing at elevated temperatures.

Even though direct bonding as a wafer bonding technique is able to process nearly all materials, silicon is the most established material up to now. Therefore, the bonding process is also referred to as silicon direct bonding or silicon fusion bonding. The fields of application for silicon direct bonding are, e.g. manufacturing of Silicon on insulator (SOI) wafers, sensors and actuators. [3]

Overview

The silicon direct bonding is based on intermolecular interactions including van der Waals forces, hydrogen bonds and strong covalent bonds. [2] The initial procedure of direct bonding was characterized by a high process temperature. There is demand to lower the process temperature due to several factors, one is for instance the increasing number of utilized materials with different coefficients of thermal expansion. Hence, the aim is to achieve a stable and hermetic direct bond at a temperature below 450 °C. Therefore, processes for wafer surface activation i.e. plasma treatment or chemical-mechanical polishing (CMP), are being considered and are actively being researched. [4] The upper limit of 450 °C bases on the limitations of back-end CMOS processing and the beginning of interactions between the applied materials. [5]

History

The adhering effect of smooth and polished solid surfaces is first mentioned by Desaguliers (1734). His discovery was based on the friction between two surfaces of solids. The better the surfaces are polished the lower the friction is between those solids. This statement he described is only valid until a specific point. From this point on the friction starts to rise and the surfaces of the solids start to adhere together. [6] First reports of successful silicon direct bonding were published 1986 among others by J. B. Lasky. [7]

Conventional direct bonding

Scheme of a hydrophilic silicon surface B-d-hydrophilicsisurface.svg
Scheme of a hydrophilic silicon surface
Scheme of a hydrophobic silicon surface B-d-hydrophobicsisurface.svg
Scheme of a hydrophobic silicon surface

Direct bonding is mostly referred to as bonding with silicon. Therefore, process techniques are divided in accordance with the chemical structure of the surface in hydrophilic (compare to scheme of a hydrophilic silicon surface) or hydrophobic (compare to scheme of a hydrophobic silicon surface). [6]

The surface state of a silicon wafer can be measured by the contact angle a drop of water forms. In the case of a hydrophilic surface the angle is small (< 5 °) based on the excellent wettability whereas a hydrophobic surface shows a contact angle larger than 90 °.

Bonding of hydrophilic silicon wafers

Wafer preprocessing

Infrared photograph of initiation and propagation of bonding wave in wafer bonding of silicon wafers. (l) wafers are separated by an air layer and the bond process starts by pressure on top wafer. (m) bond wave moves to the edge. (r) a perfectly bonded wafer pair, not reflecting IR light. B-d-irphotobondwave.png
Infrared photograph of initiation and propagation of bonding wave in wafer bonding of silicon wafers. (l) wafers are separated by an air layer and the bond process starts by pressure on top wafer. (m) bond wave moves to the edge. (r) a perfectly bonded wafer pair, not reflecting IR light.

Before bonding two wafers, those two solids need to be free of impurities that can base on particle, organic and/or ionic contamination. To achieve the cleanliness without degrading the surface quality, the wafer passes a dry cleaning, e.g. plasma treatments or UV/ozone cleaning, or a wet chemical cleaning procedure. [2] The utilization of chemical solutions combines sequential steps. An established industrial standard procedure is SC (Standard Clean) purification by RCA. It consists of two solutions

SC1 is used for removing organic contaminations and particles at a temperature of 70 °C to 80 °C for 5 to 10 min and SC2 is used for removing metal ions at 80 °C for 10 min. [9] Subsequently, the wafers are rinsed with or stored in deionized water. The actual procedure needs to be adapted to every application and device because of usually existing interconnects and metallization systems on the wafer. [10]

Pre-bonding at room temperature

Diagram of the surface energy of hydrophilic and hydrophobic bonded wafers B-d-diagramsurfaceenergy.png
Diagram of the surface energy of hydrophilic and hydrophobic bonded wafers

Before contacting the wafers, those have to be aligned. [1] If the surfaces are sufficiently smooth, the wafers start to bond as soon as they get in atomic contact as shown in infrared photograph of a bond wave.

The wafers are covered with water molecules so the bonding happens between chemisorbed water molecules on the opposing wafer surfaces. In consequence a significant fraction of Si-OH (silanol) groups start to polymerize at room temperature forming Si-O-Si and water and a sufficient bonding strength for handling the wafer stack is assured. The formed water molecules will migrate or diffuse along the interface during annealing. [8]

After the pre-bonding in air, in a special gaseous atmosphere or vacuum, the wafers have to pass an annealing process for increasing the bonding strength. The annealing therefore provides a certain amount of thermal energy which forces more silanol groups to react among each other and new, highly stable chemical bindings are formed. The kind of binding which forms directly depends on the amount of energy which has been delivered or the applied temperature respectively. In consequence the bonding strength rises with increasing annealing temperatures. [2]

Annealing at elevated temperatures

Between room temperature and 110 °C the interface energy remains low, water molecules diffuse at the bond interface, leading to a rearrangement, causing more hydrogen-bonds. At temperatures from 110 °C to 150 °C silanol groups polymerize to siloxane and water, but also a slow fracture takes place. This reaction equates a thermo dynamical equilibrium and a higher density of silanol groups results in a higher number of siloxane and an increasing bond strength.

No further processes are observed at the interface between 150 °C and 800 °C until all OH-groups are polymerized and the composite strength remains constant.

Above 800 °C native oxide gets viscous and starts to flow at the interface, which increases the area of contacted surfaces. So, the diffusion of trapped hydrogen molecules along the interface is enhanced and interface voids may reduce in size or disappear at all. The annealing process is finished by the cooling of the wafer stack. [8]

The interface energy increases to more than 2 Jm2 at 800 °C with a native oxide layer or at 1000 °C if the wafers are covered by thermal oxide (compare diagram of surface energy). In case one wafer contains a layer of thermal oxide and the other wafer is covered by a native oxide, the surface energy development is similar to a wafer pair both covered with a native oxide layer. [2]

Bonding of hydrophobic silicon wafers

Wafer preprocessing

A hydrophobic surface is generated if the native oxide layer is removed by either plasma treatment or by fluoride containing etching solutions, e.g. hydrogen fluoride (HF) or ammonium fluoride (NH4F). This process enhances the formation of Si-F bonds of the exposed silicon atoms. For hydrophobic bonding it is important to avoid re-hydrophilization, e.g. by rinsing and spin-drying, since Si-F bonds contacted with water result in Si-OH. [1]

Pre-bonding at room temperature

Prior to bonding the surface is covered with hydrogen and fluorine atoms. The bonding at room temperature is mostly based on van-der-Waals forces between those hydrogen and fluorine atoms. Compared to bonding with hydrophilic surfaces, the interface energy is lower directly after contacting. This fact builds up the need for a higher surface quality and cleanliness to prevent unbonded areas and thereby to achieve a full-surface contact between the wafers (compare infrared photograph of a bond wave). [1] Similar to bonding of hydrophilic surfaces, the pre-bond is followed by an annealing process.

Annealing at elevated temperatures

From room temperature to 150 °C no important interface reactions occur and the surface energy is stable. Between 150 °C and 300 °C more Si-F-H-Si bonds are formed. Above 300 °C the desorption of hydrogen and fluoride from the wafer surface leads to redundant hydrogen atoms that diffuse in the silicon crystal lattice or along interface. As a result, covalent Si-Si bonds start to establish between opposing surfaces. At 700 °C the transition to Si-Si bonds is completed. [11] The bonding energy reaches cohesive strengths of bulk silicon (compare diagram of surface energy). [2]

Low temperature direct bonding

Even though direct bonding is highly flexible in processing numerous materials, the mismatch of CTE (coefficient of thermal expansion) using different materials is a substantial restriction for wafer level bonding, especially the high annealing temperatures of direct bonding. [8]

The focus in research is put on hydrophilic silicon surfaces. The increase of the bonding energy is based on the conversion of silanol- (Si-OH) into siloxane-groups (Si-O-Si). The diffusion of water is mentioned as limiting factor because water has to be removed from the interface before close contact of surfaces is established. The difficulty is that water molecules may react with already formed siloxane-groups (Si-O-Si), so the overall energy of adhesion gets weaker. [2]

Lower temperatures are important for bonding pre-processed wafers or compound materials to avoid undesirable changes or decomposition. The reduction of the required annealing temperature can be achieved by different pretreatments such as:

Furthermore, research has shown that a lower annealing temperature for hydrophobic surfaces is possible with wafer pre-treatment based on:

Examples

This technique is usable for the fabrication of multi wafer micro structures, i.e. accelerometers, micro valves and micro pumps.

Technical specifications

Materials
  • Si
  • SiO2
  • Glass-substrate
  • Lithium-tantalate (LiTaO3)
  • stainless steel
Temperature
  • Conventional: < 1200 °C
  • Low temperature: 200 - 400 °C
Advantages
  • high bonding strength
  • high temperature stability
  • process compatibility to semiconductor technology
  • bonding in vacuum or different atmospheric gases
Drawbacks
  • high standards in surface geometry
  • high standards in roughness
Research
  • hybrid bonding (metal bonds and SFB simultaneously)
  • bonding at T < 200 °C
  • completely dry process including pre-conditioning

Related Research Articles

<span class="mw-page-title-main">Polydimethylsiloxane</span> Chemical compound

Polydimethylsiloxane (PDMS), also known as dimethylpolysiloxane or dimethicone, is a silicone polymer with a wide variety of uses, from cosmetics to industrial lubrication.

<span class="mw-page-title-main">Dangling bond</span>

In chemistry, a dangling bond is an unsatisfied valence on an immobilized atom. An atom with a dangling bond is also referred to as an immobilized free radical or an immobilized radical, a reference to its structural and chemical similarity to a free radical.

<span class="mw-page-title-main">Siloxane</span> Si–O–Si chemical bond

A siloxane is a functional group in organosilicon chemistry with the Si−O−Si linkage. The parent siloxanes include the oligomeric and polymeric hydrides with the formulae H(OSiH2)nOH and (OSiH2)n. Siloxanes also include branched compounds, the defining feature of which is that each pair of silicon centres is separated by one oxygen (O) atom. The siloxane functional group forms the backbone of silicones, the premier example of which is polydimethylsiloxane (PDMS). The functional group R3SiO− (where the three Rs may be different) is called siloxy. Siloxanes are manmade and have many commercial and industrial applications because of the compounds’ hydrophobicity, low thermal conductivity, and high flexibility.

Hydrogen-terminated silicon surface is a chemically passivated silicon substrate where the surface Si atoms are bonded to hydrogen. The hydrogen-terminated surfaces are hydrophobic, luminescent, and amenable to chemical modification. Hydrogen-terminated silicon is an intermediate in the growth of bulk silicon from silane:

<span class="mw-page-title-main">Piranha solution</span> Oxidizing acid mixture containing sulfuric acid and hydrogen peroxide

Piranha solution, also known as piranha etch, is a mixture of sulfuric acid (H2SO4) and hydrogen peroxide (H2O2). The result of the mixture gives rise to a strong union of two acids called per-hexa-sulfuric acid (H4SO6) that is used to clean organic residues off substrates. Because the mixture is a strong oxidizing agent, it will decompose most organic matter, and it will also hydroxylate most surfaces (by adding –OH groups), making them highly hydrophilic (water-compatible). This means the solution can also easily dissolve fabric and skin, potentially causing severe damage and chemical burns in case of inadvertent contact.

<span class="mw-page-title-main">Silicone rubber</span> Elastomer

Silicone rubber is an elastomer composed of silicone—itself a polymer—containing silicon together with carbon, hydrogen, and oxygen. Silicone rubbers are widely used in industry, and there are multiple formulations. Silicone rubbers are often one- or two-part polymers, and may contain fillers to improve properties or reduce cost.

<span class="mw-page-title-main">Organosilicon chemistry</span> Organometallic compound containing carbon–silicon bonds

Organosilicon chemistry is the study of organometallic compounds containing carbon–silicon bonds, to which they are called organosilicon compounds. Most organosilicon compounds are similar to the ordinary organic compounds, being colourless, flammable, hydrophobic, and stable to air. Silicon carbide is an inorganic compound.

<span class="mw-page-title-main">Thermal oxidation</span> Process creating a thin layer of (usually) silicon dioxide

In microfabrication, thermal oxidation is a way to produce a thin layer of oxide on the surface of a wafer. The technique forces an oxidizing agent to diffuse into the wafer at high temperature and react with it. The rate of oxide growth is often predicted by the Deal–Grove model. Thermal oxidation may be applied to different materials, but most commonly involves the oxidation of silicon substrates to produce silicon dioxide.

Hydrosilanes are tetravalent silicon compounds containing one or more Si-H bond. The parent hydrosilane is silane (SiH4). Commonly, hydrosilane refers to organosilicon derivatives. Examples include phenylsilane (PhSiH3) and triethoxysilane ((C2H5O)3SiH). Polymers and oligomers terminated with hydrosilanes are resins that are used to make useful materials like caulks.

Silanization is the attachment of an organosilyl group to some chemical species. Almost always, the silanization refers to conversion of a silanol-terminated surface to a alkylsiloxy-terminated surface. This conversion confers hydrophobicity to a previously hydrophilic surface. This process is often used to modify the surface properties of glass, silicon, alumina, quartz, and metal oxide substrates, which all have an abundance of hydroxyl groups. Silanization differs from silylation, which usually refers to attachment of organosilicon groups to molecular substrates.

Adhesive bonding describes a wafer bonding technique with applying an intermediate layer to connect substrates of different types of materials. Those connections produced can be soluble or insoluble. The commercially available adhesive can be organic or inorganic and is deposited on one or both substrate surfaces. Adhesives, especially the well-established SU-8, and benzocyclobutene (BCB), are specialized for MEMS or electronic component production.

Hydrophobic silica is a form of silicon dioxide that has hydrophobic groups chemically bonded to the surface. The hydrophobic groups are normally alkyl or polydimethylsiloxane chains. Hydrophobic silica can be processed in different ways; such as fumed silica, precipitated silica, and aerosol assisted self assembly, all existing in the form of nanoparticles.

<span class="mw-page-title-main">Disiloxane</span> Chemical compound

Disiloxane has the chemical formula Si
2
H
6
O
. It is the simplest known siloxane with hydrogen only R groups. The molecule contains six equivalent Si-H bonds and two equivalent Si-O bonds. Disiloxane exists as a colorless, pungent gas under standard conditions. However, it is generally safe for human use as evidence in its widespread use in cosmetics. It is also commonly known as disilyl ether, disilyl oxide, and perhydrodisiloxane

<span class="mw-page-title-main">Crystalline silicon</span> Semiconducting material used in solar cell technology

Crystalline silicon or (c-Si) Is the crystalline forms of silicon, either polycrystalline silicon, or monocrystalline silicon. Crystalline silicon is the dominant semiconducting material used in photovoltaic technology for the production of solar cells. These cells are assembled into solar panels as part of a photovoltaic system to generate solar power from sunlight.

Ultra-high-purity steam, also called the clean steam, UHP steam or high purity water vapor, is used in a variety of industrial manufacturing processes that require oxidation or annealing. These processes include the growth of oxide layers on silicon wafers for the semiconductor industry, originally described by the Deal-Grove model, and for the formation of passivation layers used to improve the light capture ability of crystalline photovoltaic cells. Several methods and technologies can be employed to generate ultra high purity steam, including pyrolysis, bubbling, direct liquid injection, and purified steam generation. The level of purity, or the relative lack of contamination, affects the quality of the oxide layer or annealed surface. The method of delivery affects growth rate, uniformity, and electrical performance. Oxidation and annealing are common steps in the manufacture of such devices as microelectronics and solar cells.

Anodic bonding is a wafer bonding process to seal glass to either silicon or metal without introducing an intermediate layer; it is commonly used to seal glass to silicon wafers in electronics and microfluidics. This bonding technique, also known as field assisted bonding or electrostatic sealing, is mostly used for connecting silicon/glass and metal/glass through electric fields. The requirements for anodic bonding are clean and even wafer surfaces and atomic contact between the bonding substrates through a sufficiently powerful electrostatic field. Also necessary is the use of borosilicate glass containing a high concentration of alkali ions. The coefficient of thermal expansion (CTE) of the processed glass needs to be similar to those of the bonding partner.

Plasma-activated bonding is a derivative, directed to lower processing temperatures for direct bonding with hydrophilic surfaces. The main requirements for lowering temperatures of direct bonding are the use of materials melting at low temperatures and with different coefficients of thermal expansion (CTE).

<span class="mw-page-title-main">Eutectic bonding</span>

Eutectic bonding, also referred to as eutectic soldering, describes a wafer bonding technique with an intermediate metal layer that can produce a eutectic system. Those eutectic metals are alloys that transform directly from solid to liquid state, or vice versa from liquid to solid state, at a specific composition and temperature without passing a two-phase equilibrium, i.e. liquid and solid state. The fact that the eutectic temperature can be much lower than the melting temperature of the two or more pure elements can be important in eutectic bonding.

Glass frit bonding, also referred to as glass soldering or seal glass bonding, describes a wafer bonding technique with an intermediate glass layer. It is a widely used encapsulation technology for surface micro-machined structures, e.g., accelerometers or gyroscopes. This technique utilizes low melting-point glass and therefore provides various advantages including that viscosity of glass decreases with an increase of temperature. The viscous flow of glass has effects to compensate and planarize surface irregularities, convenient for bonding wafers with a high roughness due to plasma etching or deposition. A low viscosity promotes hermetically sealed encapsulation of structures based on a better adaption of the structured shapes. Further, the coefficient of thermal expansion (CTE) of the glass material is adapted to silicon. This results in low stress in the bonded wafer pair. The glass has to flow and wet the soldered surfaces well below the temperature where deformation or degradation of either of the joined materials or nearby structures occurs. The usual temperature of achieving flowing and wetting is between 450 and 550 °C.

Silanization of silicon and mica is the coating of these materials with a thin layer of self assembling units.

References

  1. 1 2 3 4 J. Bagdahn (2000). Festigkeit und Lebensdauer direkt gebondeter Siliziumwafer unter mechanischer Belastung (Thesis). Martin-Luther-Universität Halle-Wittenberg.
  2. 1 2 3 4 5 6 7 8 A. Plössl and G. Kräuter (1999). "Wafer direct bonding: tailoring adhesion between brittle materials". Materials Science and Engineering. 25 (1–2): 1–88. doi:10.1016/S0927-796X(98)00017-5.
  3. M. Wiemer and J. Frömel and T. Gessner (2003). "Trends der Technologieentwicklung im Bereich Waferbonden". In W. Dötzel (ed.). 6. Chemnitzer Fachtagung Mikromechanik & Mikroelektronik. Vol. 6. Technische Universität Chemnitz. pp. 178–188.
  4. D. Wünsch and M. Wiemer and M. Gabriel and T. Gessner (2010). "Low temperature wafer bonding for microsystems using dielectric barrer discharge". MST News. Vol. 1/10. pp. 24–25.
  5. P.R. Bandaru and S. Sahni and E. Yablonovitch and J. Liu and H.-J. Kim and Y.-H. Xie (2004). "Fabrication and characterization of low temperature (< 450 °C) grown p-Ge/n-Si photodetectors for silicon based photonics". Materials Science and Engineering. 113 (1): 79–84. doi:10.1016/j.mseb.2004.07.007.
  6. 1 2 S. Mack (1997). Eine vergleichende Untersuchung der physikalisch-chemischen Prozesse an der Grenzschicht direkt und anodischer verbundener Festkörper (Thesis). Jena, Germany: VDI Verlag / Max Planck Institute. ISBN   3-18-343602-7.
  7. J. B. Lasky (1986). "Wafer bonding for silicon-on-insulator technologies". Applied Physics Letters. 48 (1): 78–80. Bibcode:1986ApPhL..48...78L. doi:10.1063/1.96768.
  8. 1 2 3 4 Q.-Y. Tong and U. Gösele (1998). The Electrochemical Society (ed.). Semiconductor Wafer Bonding: Science and Technology (1 ed.). Wiley-Interscience. ISBN   978-0-471-57481-1.
  9. G. Gerlach and W. Dötzel (2008). Ronald Pething (ed.). Introduction to Microsystem Technology: A Guide for Students (Wiley Microsystem and Nanotechnology). Wiley Publishing. ISBN   978-0-470-05861-9.
  10. R. F. Wolffenbuttel and K. D. Wise (1994). "Low-temperature silicon wafer-to-wafer bonding using gold at eutectic temperature". Sensors and Actuators A: Physical. 43 (1–3): 223–229. doi:10.1016/0924-4247(93)00653-l. hdl: 2027.42/31608 .
  11. Q.-Y. Tong and E. Schmidt and U. Gösele and M. Reiche (1994). "Hydrophobic silicon wafer bonding". Applied Physics Letters. 64 (5): 625–627. Bibcode:1994ApPhL..64..625T. doi:10.1063/1.111070.