Nanometrology

Last updated
NIST Next-Generation Nanometrology research. NIST Next-Generation Nanometrology research.jpg
NIST Next-Generation Nanometrology research.

Nanometrology is a subfield of metrology, concerned with the science of measurement at the nanoscale level. Nanometrology has a crucial role in order to produce nanomaterials and devices with a high degree of accuracy and reliability in nanomanufacturing.

Contents

A challenge in this field is to develop or create new measurement techniques and standards to meet the needs of next-generation advanced manufacturing, which will rely on nanometer scale materials and technologies. The needs for measurement and characterization of new sample structures and characteristics far exceed the capabilities of current measurement science. Anticipated advances in emerging U.S. nanotechnology industries will require revolutionary metrology with higher resolution and accuracy than has previously been envisioned. [1]

Introduction

Control of the critical dimensions are the most important factors in nanotechnology. Nanometrology today, is to a large extent based on the development in semiconductor technology. Nanometrology is the science of measurement at the nanoscale level. Nanometer or nm is equivalent to 10^-9 m. In Nanotechnology accurate control of dimensions of objects is important. Typical dimensions of nanosystems vary from 10 nm to a few hundred nm and while fabricating such systems measurement up to 0.1 nm is required.

"Scanning electron microscope" SEM chamber1.JPG
"Scanning electron microscope"

At nanoscale due to the small dimensions various new physical phenomena can be observed. For example, when the crystal size is smaller than the electron mean free path the conductivity of the crystal changes. Another example is the discretization of stresses in the system. It becomes important to measure the physical parameters so as to apply these phenomena into engineering of nanosystems and manufacturing them. The measurement of length or size, force, mass, electrical and other properties is included in Nanometrology. The problem is how to measure these with reliability and accuracy. The measurement techniques used for macro systems cannot be directly used for measurement of parameters in nanosystems. Various techniques based on physical phenomena have been developed which can be used for measure or determine the parameters for nanostructures and nanomaterials. Some of the popular ones are X-Ray diffraction, transmission electron microscopy, High Resolution Transmission Electron Microscopy, atomic force microscopy, scanning electron microscopy, field emission scanning electron microscopy and Brunauer, Emmett, Teller method to determine specific surface.

Nanotechnology is an important field because of the large number of applications it has and it has become necessary to develop more precise techniques of measurement and globally accepted standards. Hence progress is required in the field of Nanometrology.

Development needs

Nanotechnology can be divided into two branches. The first being molecular nanotechnology which involves bottom up manufacturing and the second is engineering nanotechnology which involve the development and processing of materials and systems at nanoscale. The measurement and manufacturing tools and techniques required for the two branches are slightly different.

Furthermore, Nanometrology requirements are different for the industry and research institutions. Nanometrology of research has progressed faster than that for industry mainly because implementing nanometrology for industry is difficult. In research oriented nanometrology resolution is important whereas in industrial nanometrology accuracy is given precedence over resolution. Further, due to economic reasons it is important to have low time costs in industrial nanometrology, whereas it is not important for research nanometrology. The various measurement techniques available today require a controlled environment like in vacuum, vibration and noise free environment. Also, in industrial nanometrology requires that the measurements be more quantitative with minimum number of parameters.

Standards

International standards

Metrology standards are objects or ideas that are designated as being authoritative for some accepted reason. Whatever value they possess is useful for comparison to unknowns for the purpose of establishing or confirming an assigned value based on the standard. The execution of measurement comparisons for the purpose of establishing the relationship between a standard and some other measuring device is calibration. The ideal standard is independently reproducible without uncertainty. The worldwide market for products with nanotechnology applications is projected to be at least a couple of hundred billion dollars in the near future.[ citation needed ] Until recently, there almost no established internationally accepted standards for nanotechnology related field. The International Organization for Standardization TC-229 Technical Committee on Nanotechnology recently published few standards for terminology, characterization of nanomaterials and nanoparticles using measurement tools like AFM, SEM, Interferometers, optoacoustic tools, gas adsorption methods etc. Certain standards for standardization of measurements for electrical properties have been published by the International Electrotechnical Commission. Some important standards which are yet to be established are standards for measuring thickness of thin films or layers, characterization of surface features, standards for force measurement at nanoscale, standards for characterization of critical dimensions of nanoparticles and nanostructures and also Standards for measurement for physical properties like conductivity, elasticity etc.

National standards

Because of the importance of nanotechnology in the future, countries around the world have programmes to establish national standards for nanometrology and nanotechnology. These programmes are run by the national standard agencies of the respective countries. In the United States, National Institute of Standards and Technology has been working on developing new techniques for measurement at nanoscale and has also established some national standards for nanotechnology. These standards are for nanoparticle characterization, Roughness Characterization, magnification standard, calibration standards etc.

Calibration

It is difficult to provide samples using which precision instruments can be calibrated at nanoscale. Reference or calibration standards are important for repeatability to be ensured. But there are no international standards for calibration and the calibration artefacts provided by the company along with their equipment is only good for calibrating that particular equipment. Hence it is difficult to select a universal calibration artefact using which we can achieve repeatability at nanoscale. At nanoscale while calibrating care needs to be taken for influence of external factors like vibration, noise, motions caused by thermal drift and creep, nonlinear behaviour and hysteresis of piezoscanner [2] and internal factors like the interaction between the artefact and the equipment which can cause significant deviations.

Measurement techniques

In the last 70 years various techniques for measuring at nanoscale have been developed. Most of them based on some physical phenomena observed on particle interactions or forces at nanoscale. Some of the most commonly used techniques are Atomic Force Microscopy, X-Ray Diffraction, Scanning Electron Microscopy, Transmission Electron Microscopy, High Resolution Transmission Electron Microscopy, and Field Emission Scanning Electron Microscopy.

Block Diagram of an atomic force microscope. Atomic force microscope block diagram.svg
Block Diagram of an atomic force microscope.

Atomic force microscopy (AFM) is one of the most common measurement techniques. It can be used to measure topology, grain size, frictional characteristics and different forces. It consists of a silicon cantilever with a sharp tip with a radius of curvature of a few nanometers. The tip is used as a probe on the specimen to be measured. The forces acting at the atomic level between the tip and the surface of the specimen cause the tip to deflect and this deflection is detected using a laser spot which is reflected to an array of photodiodes.

Diagram of a Scanning tunneling microscope. Scanning Tunneling Microscope schematic.svg
Diagram of a Scanning tunneling microscope .

Scanning tunneling microscopy (STM) is another instrument commonly used. It is used to measure 3-D topology of the specimen. The STM is based on the concept of quantum tunneling. When a conducting tip is brought very near to the surface to be examined, a bias (voltage difference) applied between the two can allow electrons to tunnel through the vacuum between them. Measurements are made by monitoring the current as the tip's position scans across the surface, which can then be used to display an image.

Another commonly used instrument is the scanning electron microscopy (SEM) which apart from measuring the shape and size of the particles and topography of the surface can be used to determine the composition of elements and compounds the sample is composed of. In SEM the specimen surface is scanned with a high energy electron beam. The electrons in the beam interact with atoms in the specimen and interactions are detected using detectors. The interactions produced are back scattering of electrons, transmission of electrons, secondary electrons etc. To remove high angle electrons magnetics lenses are used.

The instruments mentioned above produce realistic pictures of the surface are excellent measuring tools for research. Industrial applications of nanotechnology require the measurements to be produced need to be more quantitative. The requirement in industrial nanometrology is for higher accuracy than resolution as compared to research nanometrology.

Nano coordinate measuring machine

A coordinate measuring machine (CMM) that works at the nanoscale would have a smaller frame than the CMM used for macroscale objects. This is so because it may provide the necessary stiffness and stability to achieve nanoscale uncertainties in x,y and z directions. The probes for such a machine need to be small to enable a 3-D measurement of nanometre features from the sides and from inside like nanoholes. Also for accuracy laser interferometers need to be used. NIST has developed a surface measuring instrument, called the Molecular Measuring Machine. This instrument is basically an STM. The x- and y-axes are read out by laser interferometers. The molecules on the surface area can be identified individually and at the same time the distance between any two molecules can be determined. For measuring with molecular resolution, the measuring times become very large for even a very small surface area. Ilmenau Machine is another nanomeasuring machine developed by researchers at the Ilmenau University of Technology.

Dimensional metrology using CMM. 9.12.17 Coordinate measuring machine.png
Dimensional metrology using CMM.

The components of a nano CMM include nanoprobes, control hardware, 3D-nanopositioning platform, and instruments with high resolution and accuracy for linear and angular measurement.

List of some of the measurement techniques

TypeDescription
Atomic Force Microscopy A precise mechanical probe is used to analyze surface irregularities
X- Ray Diffraction A crystalline structure causes x-rays to diverge, using the angle of these diffractions, measurements can be determined
X-ray absorption Spectroscopy Core electrons are excited using x-rays, and their transitions are measured
Small Angle X-Ray Scattering
Scanning Tunneling Microscopy
Transmission Electron Microscopy An effective technique to analyse the biological samples
Capacitance Spectroscopy
Polarization Spectroscopy
Auger Electron Spectroscopy
Raman Spectroscopy
Small Angle Neutron Scattering
Scanning Electron Microscopy
Cyclic Voltammetry
Linear Sweep Voltammetry
Nuclear Magnetic Resonance
Mössbauer Spectroscopy
Fourier Transform Infrared Spectroscopy
Photoluminescence Spectroscopy
Electroluminescence Spectroscopy
Differential Scanning Calorimetry
Secondary Ion Mass Spectrometry
Cathodoluminescence Spectroscopy A luminescent material is excited by an electron beam and the emitted light analyzed in a spectrometer
Electron Energy Loss Spectroscopy
Energy Dispersive X-Ray Spectroscopy The characteristic X-rays emitted by transitions of inner shell electrons after excitation with an electron beam are used to identify atomic species present in a sample
Four point probe and I-V technique
X-Ray Photoelectron Spectroscopy
Scanning Near-field Optical Microscopy
Single-molecule Spectroscopy
Neutron Diffraction
Interference Microscopy [3]
Laser InterferometryMichelson's interferometry

Traceability

In metrology at macro scale achieving traceability is quite easy and artefacts like scales, laser interferometers, step gauges, and straight edges are used. At nanoscale a crystalline highly oriented pyrolytic graphite (HOPG), mica or silicon surface is considered suitable used as calibration artefact for achieving traceability. [4] [5] But it is not always possible to ensure traceability. Like what is a straight edge at nanoscale and even if take the same standard as that for macroscale there is no way to calibrate it accurately at nanoscale. This so because the requisite internationally or nationally accepted reference standards are not always there. Also the measurement equipment required to ensure traceability has not been developed. The generally used for traceability are miniaturisation of traditional metrology standards hence there is a need for establishing nanoscale standards. Also there is a need to establish some kind of uncertainty estimation model. Traceability is one of the fundamental requirements for manufacturing and assembly of products when multiple producers are there.

Tolerance

"Integrated circuit" made using monolithic integration technique. Diopsis.jpg
"Integrated circuit" made using monolithic integration technique.

Tolerance is the permissible limit or limits of variation in dimensions, properties, or conditions without significantly affecting functioning of equipment or a process. Tolerances are specified to allow reasonable leeway for imperfections and inherent variability without compromising performance. In nanotechnology the systems have dimensions in the range of nanometers. Defining tolerances at nanoscale with suitable calibration standards for traceability is difficult for different nanomanufacturing methods. There are various integration techniques developed in the semiconductor industry that are used in nanomanufacturing.

Integration techniques

Classification of nanostructures

There are a variety of nanostructures like nanocomposites, nanowires, nanopowders, nanotubes, fullerenes nanofibers, nanocages, nanocrystallites, nanoneedles, nanofoams, nanomeshes, nanoparticles, nanopillars, thin films, nanorods, nanofabrics, quantumdots etc. The most common way to classify nano structures is by their dimensions.

SEM of nanowire. Epitaxial Nanowire Heterostructures SEM image.jpg
SEM of nanowire .

Dimensional classification

DimensionsCriteriaExamples
Zero-dimensional (0-D)The nanostructure has all dimensions in the nanometer range.Nanoparticles, quantum dots, nanodots
One-dimensional (1-D)One dimension of the nanostructure is outside the nanometer range. Nanowires, nanorods, nanotubes
Two-dimensional (2-D)Two dimensions of the nanostructure are outside the nanometer range.Coatings, thin-film-multilayers
Three-dimensional (3-D)Three dimensions of the nanostructure are outside the nanometer range.Bulk

Classification of grain structure

Nanostructures can be classified on the basis of the grain structure and size there are made up of. This is applicable in the cas of 2-dimensional and 3-Dimensional Nanostructurs.

Surface area measurement

For nanopowder to determine the specific surface area the B.E.T. method is commonly used. The drop of pressure of nitrogen in a closed container due to adsorption of the nitrogen molecules to the surface of the material inserted in the container is measured. Also, the shape of the nanopowder particles is assumed to be spherical.

D = 6/(ρ*A)

Where "D" is the effective diameter, "ρ" is the density and "A" is the surface area found from the B.E.T. method.

See also

Related Research Articles

<span class="mw-page-title-main">Nanotechnology</span> Field of science involving control of matter on atomic and (supra)molecular scales

Nanotechnology was defined by the National Nanotechnology Initiative as the manipulation of matter with at least one dimension sized from 1 to 100 nanometers (nm). At this scale, commonly known as the nanoscale, surface area and quantum mechanical effects become important in describing properties of matter. The definition of nanotechnology is inclusive of all types of research and technologies that deal with these special properties. It is therefore common to see the plural form "nanotechnologies" as well as "nanoscale technologies" to refer to the broad range of research and applications whose common trait is size. An earlier description of nanotechnology referred to the particular technological goal of precisely manipulating atoms and molecules for fabrication of macroscale products, also now referred to as molecular nanotechnology.

<span class="mw-page-title-main">Atomic force microscopy</span> Type of microscopy

Atomic force microscopy (AFM) or scanning force microscopy (SFM) is a very-high-resolution type of scanning probe microscopy (SPM), with demonstrated resolution on the order of fractions of a nanometer, more than 1000 times better than the optical diffraction limit.

<span class="mw-page-title-main">Molecular Foundry</span>

The Molecular Foundry is a nanoscience user facility located at the Lawrence Berkeley National Laboratory in Berkeley, California, and is one of five Nanoscale Science Research Centers sponsored by the United States Department of Energy.

<span class="mw-page-title-main">Nanomaterials</span> Materials whose granular size lies between 1 and 100 nm

Nanomaterials describe, in principle, materials of which a single unit is sized between 1 and 100 nm.

A nanoruler is a tool or a method used within the subfield of "nanometrology" to achieve precise control and measurements at the nanoscale. Measurements of extremely tiny proportions require more complicated procedures, such as manipulating the properties of light (plasmonic) or DNA to determine distances. At the nanoscale, materials and devices exhibit unique properties that can significantly influence their behavior. In fields like electronics, medicine, and biotechnology, where advancements come from manipulating matter at the atomic and molecular levels, nanoscale measurements become essential.

Nanoelectrochemistry is a branch of electrochemistry that investigates the electrical and electrochemical properties of materials at the nanometer size regime. Nanoelectrochemistry plays significant role in the fabrication of various sensors, and devices for detecting molecules at very low concentrations.

<span class="mw-page-title-main">X-ray nanoprobe</span>

The hard X-ray nanoprobe at the Center for Nanoscale Materials (CNM), Argonne National Lab advanced the state of the art by providing a hard X-ray microscopy beamline with the highest spatial resolution in the world. It provides for fluorescence, diffraction, and transmission imaging with hard X-rays at a spatial resolution of 30 nm or better. A dedicated source, beamline, and optics form the basis for these capabilities. This unique instrument is not only key to the specific research areas of the CNM; it will also be a general utility, available to the broader nanoscience community in studying nanomaterials and nanostructures, particularly for embedded structures.

Magnetic resonance force microscopy (MRFM) is an imaging technique that acquires magnetic resonance images (MRI) at nanometer scales, and possibly at atomic scales in the future. MRFM is potentially able to observe protein structures which cannot be seen using X-ray crystallography and protein nuclear magnetic resonance spectroscopy. Detection of the magnetic spin of a single electron has been demonstrated using this technique. The sensitivity of a current MRFM microscope is 10 billion times greater than a medical MRI used in hospitals.

<span class="mw-page-title-main">Feature-oriented scanning</span>

Feature-oriented scanning (FOS) is a method of precision measurement of surface topography with a scanning probe microscope in which surface features (objects) are used as reference points for microscope probe attachment. With FOS method, by passing from one surface feature to another located nearby, the relative distance between the features and the feature neighborhood topographies are measured. This approach allows to scan an intended area of a surface by parts and then reconstruct the whole image from the obtained fragments. Beside the mentioned, it is acceptable to use another name for the method – object-oriented scanning (OOS).

The following outline is provided as an overview of and topical guide to nanotechnology:

<span class="mw-page-title-main">Nanomechanics</span>

Nanomechanics is a branch of nanoscience studying fundamental mechanical properties of physical systems at the nanometer scale. Nanomechanics has emerged on the crossroads of biophysics, classical mechanics, solid-state physics, statistical mechanics, materials science, and quantum chemistry. As an area of nanoscience, nanomechanics provides a scientific foundation of nanotechnology.

In 2007, productive nanosystems were defined as functional nanoscale systems that make atomically-specified structures and devices under programmatic control, i.e., performing atomically precise manufacturing. As of 2015, such devices were only hypothetical, and productive nanosystems represented a more advanced approach among several to perform Atomically Precise Manufacturing. A workshop on Integrated Nanosystems for Atomically Precise Manufacturing was held by the Department of Energy in 2015.

The technique of vibrational analysis with scanning probe microscopy allows probing vibrational properties of materials at the submicrometer scale, and even of individual molecules. This is accomplished by integrating scanning probe microscopy (SPM) and vibrational spectroscopy. This combination allows for much higher spatial resolution than can be achieved with conventional Raman/FTIR instrumentation. The technique is also nondestructive, requires non-extensive sample preparation, and provides more contrast such as intensity contrast, polarization contrast and wavelength contrast, as well as providing specific chemical information and topography images simultaneously.

Through-Focus Scanning Optical Microscopy (TSOM) is an imaging method that produces nanometer-scale three-dimensional measurement sensitivity using a conventional bright-field optical microscope. TSOM has been introduced and maintained by Ravikiran Attota at NIST. It was given an R&D 100 Award in 2010. In the TSOM method a target is scanned through the focus of an optical microscope, acquiring conventional optical images at different focal positions. The TSOM images are constructed using the through-focus optical images. A TSOM image is unique under given experimental conditions and is sensitive to changes in the dimensions of a target in a distinct way, which is very well applicable in nanoscale dimensional metrology. The TSOM method is alleged to have several nanometrology applications ranging from nanoparticles to through-silicon-vias (TSV).

In situ electron microscopy is an investigatory technique where an electron microscope is used to watch a sample's response to a stimulus in real time. Due to the nature of the high-energy beam of electrons used to image a sample in an electron microscope, microscopists have long observed that specimens are routinely changed or damaged by the electron beam. Starting in the 1960s, and using transmission electron microscopes (TEMs), scientists made deliberate attempts to modify materials while the sample was in the specimen chamber, and to capture images through time of the induced damages.

<span class="mw-page-title-main">Infrared Nanospectroscopy (AFM-IR)</span> Infrared microscopy technique

AFM-IR or infrared nanospectroscopy is one of a family of techniques that are derived from a combination of two parent instrumental techniques. AFM-IR combines the chemical analysis power of infrared spectroscopy and the high-spatial resolution of scanning probe microscopy (SPM). The term was first used to denote a method that combined a tuneable free electron laser with an atomic force microscope equipped with a sharp probe that measured the local absorption of infrared light by a sample with nanoscale spatial resolution.

Directed assembly of micro- and nano-structures are methods of mass-producing micro to nano devices and materials. Directed assembly allows the accurate control of assembly of micro and nano particles to form even the most intricate and highly functional devices or materials.

<span class="mw-page-title-main">Characterization of nanoparticles</span> Measurement of physical and chemical properties of nanoparticles

The characterization of nanoparticles is a branch of nanometrology that deals with the characterization, or measurement, of the physical and chemical properties of nanoparticles. Nanoparticles measure less than 100 nanometers in at least one of their external dimensions, and are often engineered for their unique properties. Nanoparticles are unlike conventional chemicals in that their chemical composition and concentration are not sufficient metrics for a complete description, because they vary in other physical properties such as size, shape, surface properties, crystallinity, and dispersion state.

A probe tip is an instrument used in scanning probe microscopes (SPMs) to scan the surface of a sample and make nano-scale images of surfaces and structures. The probe tip is mounted on the end of a cantilever and can be as sharp as a single atom. In microscopy, probe tip geometry and the composition of both the tip and the surface being probed directly affect resolution and imaging quality. Tip size and shape are extremely important in monitoring and detecting interactions between surfaces. SPMs can precisely measure electrostatic forces, magnetic forces, chemical bonding, Van der Waals forces, and capillary forces. SPMs can also reveal the morphology and topography of a surface.

<span class="mw-page-title-main">Multi-tip scanning tunneling microscopy</span>

Multi-tip scanning tunneling microscopy extends scanning tunneling microscopy (STM) from imaging to dedicated electrical measurements at the nanoscale like a ″multimeter at the nanoscale″. In materials science, nanoscience, and nanotechnology, it is desirable to measure electrical properties at a particular position of the sample. For this purpose, multi-tip STMs in which several tips are operated independently have been developed. Apart from imaging the sample, the tips of a multi-tip STM are used to form contacts to the sample at desired locations and to perform local electrical measurements.

References

  1. 1 2 "Programs of the Manufacturing Engineering Laboratory" (PDF). U.S. National Institute of Standards and Technology . March 2008. Archived from the original (PDF) on 2010-04-01. Retrieved 2009-07-04.PD-icon.svg This article incorporates text from this source, which is in the public domain .
  2. R. V. Lapshin (2004). "Feature-oriented scanning methodology for probe microscopy and nanotechnology" (PDF). Nanotechnology. UK: IOP. 15 (9): 1135–1151. Bibcode:2004Nanot..15.1135L. doi:10.1088/0957-4484/15/9/006. ISSN   0957-4484. S2CID   250913438. (Russian translation is available).
  3. "Co-Nanomet: Nanometrology in Europe". Archived from the original on 2009-06-29.
  4. R. V. Lapshin (1998). "Automatic lateral calibration of tunneling microscope scanners" (PDF). Review of Scientific Instruments. USA: AIP. 69 (9): 3268–3276. Bibcode:1998RScI...69.3268L. doi:10.1063/1.1149091. ISSN   0034-6748.
  5. R. V. Lapshin (2019). "Drift-insensitive distributed calibration of probe microscope scanner in nanometer range: Real mode". Applied Surface Science. Netherlands: Elsevier B. V. 470: 1122–1129. arXiv: 1501.06679 . Bibcode:2019ApSS..470.1122L. doi:10.1016/j.apsusc.2018.10.149. ISSN   0169-4332. S2CID   119275633.

General references