Optical proximity correction

Last updated
An illustration of OPC (Optical Proximity Correction). The blue G-like shape is what chip designers would like printed on a wafer, in green is the pattern on a mask after applying optical proximity correction, and the red contour is how the shape actually prints on the wafer (quite close to the desired blue target). Optical proximity correction.png
An illustration of OPC (Optical Proximity Correction). The blue Γ-like shape is what chip designers would like printed on a wafer, in green is the pattern on a mask after applying optical proximity correction, and the red contour is how the shape actually prints on the wafer (quite close to the desired blue target).

Optical proximity correction (OPC) is a photolithography enhancement technique commonly used to compensate for image errors due to diffraction or process effects. The need for OPC is seen mainly in the making of semiconductor devices and is due to the limitations of light to maintain the edge placement integrity of the original design, after processing, into the etched image on the silicon wafer. These projected images appear with irregularities such as line widths that are narrower or wider than designed, these are amenable to compensation by changing the pattern on the photomask used for imaging. Other distortions such as rounded corners are driven by the resolution of the optical imaging tool and are harder to compensate for. Such distortions, if not corrected for, may significantly alter the electrical properties of what was being fabricated. Optical proximity correction corrects these errors by moving edges or adding extra polygons to the pattern written on the photomask. This may be driven by pre-computed look-up tables based on width and spacing between features (known as rule based OPC) or by using compact models to dynamically simulate the final pattern and thereby drive the movement of edges, typically broken into sections, to find the best solution, (this is known as model based OPC). The objective is to reproduce the original layout drawn by the designer on the semiconductor wafer as well as possible.

Contents

The two most visible benefits of OPC are correcting linewidth differences seen between features in regions of different density (e.g., center vs. edge of an array, or nested vs. isolated lines), and line end shortening (e.g., gate overlap on field oxide). For the former case, this may be used together with resolution enhancement technologies such as scattering bars (sub-resolution lines placed adjacent to resolvable lines) together with linewidth adjustments. For the latter case, "dog-ear" (serif or hammerhead) features may be generated at the line end in the design. OPC has a cost impact on photomask fabrication whereby the mask write time is related to the complexity of the mask and data-files and similarly mask inspection for defects takes longer as the finer edge control requires a smaller spot size.

Impact of resolution: the k1 factor

The conventional diffraction-limited resolution is given by the Rayleigh criterion as where is the numerical aperture and is the wavelength of the illumination source. It is often common to compare the critical feature width to this value, by defining a parameter, such that feature width equals Nested features with benefit less from OPC than isolated features of the same size. The reason is the spatial frequency spectrum of nested features contains fewer components than isolated features. As the feature pitch decreases, more components are truncated by the numerical aperture, resulting in greater difficulty to affect the pattern in the desired fashion.

OPC applied to contact pattern. Due to the edge modification in the mask layout (top), the center contact in the right column is undersized in the wafer printed image (bottom). Undersized contact.png
OPC applied to contact pattern. Due to the edge modification in the mask layout (top), the center contact in the right column is undersized in the wafer printed image (bottom).

Impact of illumination and spatial coherence

The degree of coherence of the illumination source is determined by the ratio of its angular extent to the numerical aperture. This ratio is often called the partial coherence factor, or . [1] It also affects the pattern quality and hence the application of OPC. The coherence distance in the image plane is given roughly by [2] Two image points separated by more than this distance will effectively be uncorrelated, allowing a simpler OPC application. This distance is in fact close to the Rayleigh criterion for values of close to 1.

A related point is that the use of OPC does not change the illumination requirement. If off-axis illumination is required, OPC cannot be used to switch to on-axis illumination, because for on-axis illumination, imaging information is scattered outside the final aperture when off-axis illumination is needed, preventing any imaging.

Impact of aberrations

Aberrations in optical projection systems deform wavefronts, or the spectrum or spread of illumination angles, which can affect the depth of focus. While the use of OPC can offer significant benefits to depth of focus, aberrations can more than offset these benefits. [3] Good depth of focus requires diffracted light traveling at comparable angles with the optical axis, and this requires the appropriate illumination angle. [4] Assuming the correct illumination angle, OPC can direct more diffracted light along the right angles for a given pitch, but without the correct illumination angle, such angles will not even arise.

Impact of multiple exposure

As the factor has been steadily shrinking over the past technology generations, the anticipated requirement of moving to multiple exposure to generate circuit patterns becomes more real. This approach will affect the application of OPC, as one will need to take into account the sum of the image intensities from each exposure. This is the case for the complementary photomask technique, [5] where the images of an alternating-aperture phase-shifting mask and a conventional binary mask are added together.

Impact of multiple-etch patterning

In contrast to multiple exposure of the same photoresist film, multiple layer patterning entails repeated photoresist coating, deposition, and etching to pattern the same device layer. This gives an opportunity to use looser design rules to pattern the same layer. Depending on the lithography tool used to image at these looser design rules, the OPC will be different. Multiple-etch patterning may become a popular technique for future technology generations. A specific form of multiple-etch patterning, using sidewall sacrificial features, is currently the only demonstrated way of systematically patterning features less than 10 nm. [6] The minimum half-pitch corresponds to the deposited thickness of the sacrificial feature.

OPC application today

Today, OPC is rarely practiced without the use of commercial packages from electronic design automation (EDA) vendors. Advances in algorithms, modeling techniques and the use of large compute farms has enabled the most critical patterning layers to be corrected overnight, starting from the 130 nm design rules (when model based OPC was first used) [7] down to the most advanced design rules. The number of layers requiring sophisticated OPC has increased with advanced nodes, as previously non-critical layers now require compensation.

The use of OPC is not restricted to the low features which are commonly encountered today, but can be applied to any desired image correction scheme which can be modeled accurately. For example, proximity effect correction in electron beam lithography is included as an automated capability on commercial electron-beam lithography tools. Since many non-lithographic processes exhibit their own proximity effects, e.g., chemical-mechanical polishing or plasma etching, these effects can be mixed in with the original OPC.

Subresolution assist features (SRAFs)

Optical proximity correction of a main circuit and its assist features. OpcedPhotomask.png
Optical proximity correction of a main circuit and its assist features.
Assist feature OPC. The use of assist features match isolated feature images closer to dense feature images, but the assist features may themselves print accidentally. Assist feature OPC.png
Assist feature OPC. The use of assist features match isolated feature images closer to dense feature images, but the assist features may themselves print accidentally.
Defocus effect on SRAFs. Defocus can still limit the benefits of assist features, by allowing their printing. Defocus of subresolution assist features.png
Defocus effect on SRAFs. Defocus can still limit the benefits of assist features, by allowing their printing.

Subresolution assist features (SRAFs) are features which are separated from targeted features but assist in their printing, while not being printed themselves. Printing SRAFs are a critical yield detractor and require additional OPC models to determine and remove SRAFs where undesirable printing may occur. [8] SRAFs have more pronounced effects on the diffraction spectrum than targeted feature resizing and/or attachments. The requirement to not print constrains their use with low doses only. This could pose issues with stochastic effects. [9] [ unreliable source? ] Hence their main application is to improve depth of focus for isolated features (dense features do not leave enough room for SRAF placement). Since SRAFs redistribute energy toward higher spatial frequencies or diffraction orders, the depth of focus is more dependent on the illumination angle (center of spectrum of spatial frequencies or diffraction orders) as well as the pitch (separation of spatial frequences or diffraction orders). In particular, different SRAFs (position, shape, size) could result in different illumination specifications. [10] [11] In fact, certain pitches forbid the use of SRAFs for specific illumination angles. [12] Since the pitch is usually predetermined, some illumination angles must be avoided even with the use of SRAF OPC. Generally, though, SRAFs cannot be a complete solution, and can only approach the dense case, not match it. [13]

See also

Related Research Articles

Photolithography is a process used in the manufacturing of integrated circuits. It involves using light to transfer a pattern onto a substrate, typically a silicon wafer.

<span class="mw-page-title-main">Angular resolution</span> Ability of any image-forming device to distinguish small details of an object

Angular resolution describes the ability of any image-forming device such as an optical or radio telescope, a microscope, a camera, or an eye, to distinguish small details of an object, thereby making it a major determinant of image resolution. It is used in optics applied to light waves, in antenna theory applied to radio waves, and in acoustics applied to sound waves. The colloquial use of the term "resolution" sometimes causes confusion; when an optical system is said to have a high resolution or high angular resolution, it means that the perceived distance, or actual angular distance, between resolved neighboring objects is small. The value that quantifies this property, θ, which is given by the Rayleigh criterion, is low for a system with a high resolution. The closely related term spatial resolution refers to the precision of a measurement with respect to space, which is directly connected to angular resolution in imaging instruments. The Rayleigh criterion shows that the minimum angular spread that can be resolved by an image forming system is limited by diffraction to the ratio of the wavelength of the waves to the aperture width. For this reason, high resolution imaging systems such as astronomical telescopes, long distance telephoto camera lenses and radio telescopes have large apertures.

<span class="mw-page-title-main">Photomask</span> Photolithographic Tool

A photomask is an opaque plate with transparent areas that allow light to shine through in a defined pattern. Photomasks are commonly used in photolithography for the production of integrated circuits to produce a pattern on a thin wafer of material. In semiconductor manufacturing, a mask is sometimes called a reticle.

<span class="mw-page-title-main">Immersion lithography</span> Photolithography technique where there is a layer of water between a lens and a microchip

Immersion lithography is a technique used in semiconductor manufacturing to enhance the resolution and accuracy of the lithographic process. It involves using a liquid medium, typically water, between the lens and the wafer during exposure. By using a liquid with a higher refractive index than air, immersion lithography allows for smaller features to be created on the wafer.

<span class="mw-page-title-main">Electron-beam lithography</span> Lithographic technique that uses a scanning beam of electrons

Electron-beam lithography is the practice of scanning a focused beam of electrons to draw custom shapes on a surface covered with an electron-sensitive film called a resist (exposing). The electron beam changes the solubility of the resist, enabling selective removal of either the exposed or non-exposed regions of the resist by immersing it in a solvent (developing). The purpose, as with photolithography, is to create very small structures in the resist that can subsequently be transferred to the substrate material, often by etching.

Masklesslithography (MPL) is a photomask-less photolithography-like technology used to project or focal-spot write the image pattern onto a chemical resist-coated substrate by means of UV radiation or electron beam.

Nanolithography (NL) is a growing field of techniques within nanotechnology dealing with the engineering of nanometer-scale structures on various materials.

<span class="mw-page-title-main">Extreme ultraviolet lithography</span> Lithography using 13.5 nm UV light

Extreme ultraviolet lithography is a cutting-edge technology used in the semiconductor industry for manufacturing integrated circuits (ICs). It is a type of photolithography that uses extreme ultraviolet (EUV) light to create intricate patterns on silicon wafers.

<span class="mw-page-title-main">Stepper</span> Photolithographic Tool

A stepper is a device used in the manufacture of integrated circuits (ICs). It is an essential part of the process of photolithography, which creates millions of microscopic circuit elements on the surface of silicon wafers out of which chips are made. It is similar in operation to a slide projector or a photographic enlarger. The ICs that are made form the heart of computer processors, memory chips, and many other electronic devices.

<span class="mw-page-title-main">Phase-shift mask</span> Resolution-improving photomask

Phase-shift masks are photomasks that take advantage of the interference generated by phase differences to improve image resolution in photolithography. There exist alternating and attenuated phase shift masks. A phase-shift mask relies on the fact that light passing through a transparent media will undergo a phase change as a function of its optical thickness.

A superlens, or super lens, is a lens which uses metamaterials to go beyond the diffraction limit. The diffraction limit is a feature of conventional lenses and microscopes that limits the fineness of their resolution depending on the illumination wavelength and the numerical aperture (NA) of the objective lens. Many lens designs have been proposed that go beyond the diffraction limit in some way, but constraints and obstacles face each of them.

Resolution enhancement technologies are methods used to modify the photomasks in the lithographic processes used to make integrated circuits to compensate for limitations in the optical resolution of the projection systems. These processes allow the creation of features well beyond the limit that would normally apply due to the Rayleigh criterion. Modern technologies allow the creation of features on the order of 5 nanometers (nm), far below the normal resolution possible using deep ultraviolet (DUV) light.

<span class="mw-page-title-main">Selected area diffraction</span>

Selected area (electron) diffraction is a crystallographic experimental technique typically performed using a transmission electron microscope (TEM). It is a specific case of electron diffraction used primarily in material science and solid state physics as one of the most common experimental techniques. Especially with appropriate analytical software, SAD patterns (SADP) can be used to determine crystal orientation, measure lattice constants or examine its defects.

Contact lithography, also known as contact printing, is a form of photolithography whereby the image to be printed is obtained by illumination of a photomask in direct contact with a substrate coated with an imaging photoresist layer.

Interference lithography is a technique for patterning regular arrays of fine features, without the use of complex optical systems or photomasks.

<span class="mw-page-title-main">Multiple patterning</span> Technique used to increase the number of structures a microchip may contain

Multiple patterning is a class of technologies for manufacturing integrated circuits (ICs), developed for photolithography to enhance the feature density. It is expected to be necessary for the 10 nm and 7 nm node semiconductor processes and beyond. The premise is that a single lithographic exposure may not be enough to provide sufficient resolution. Hence additional exposures would be needed, or else positioning patterns using etched feature sidewalls would be necessary.

Plasmonic nanolithography is a nanolithographic process that utilizes surface plasmon excitations such as surface plasmon polaritons (SPPs) to fabricate nanoscale structures. SPPs, which are surface waves that propagate in between planar dielectric-metal layers in the optical regime, can bypass the diffraction limit on the optical resolution that acts as a bottleneck for conventional photolithography.

Computational lithography is the set of mathematical and algorithmic approaches designed to improve the resolution attainable through photolithography. Computational lithography came to the forefront of photolithography technologies in 2008 when the semiconductor industry faced challenges associated with the transition to a 22 nanometer CMOS microfabrication process and has become instrumental in further shrinking the design nodes and topology of semiconductor transistor manufacturing.

In photolithography, off-axis illumination is an optical system setup in which the incoming light strikes a photomask at an oblique angle rather than perpendicularly to it, that is to say, the incident light is not parallel to the axis of the optical system.

Holographic optical element (HOE) is an optical component (mirror, lens, directional diffuser, etc.) that produces holographic images using principles of diffraction. HOE is most commonly used in transparent displays, 3D imaging, and certain scanning technologies. The shape and structure of the HOE is dependent on the piece of hardware it is needed for, and the coupled wave theory is a common tool used to calculate the diffraction efficiency or grating volume that helps with the design of an HOE. Early concepts of the holographic optical element can be traced back to the mid-1900s, coinciding closely with the start of holography coined by Dennis Gabor. The application of 3D visualization and displays is ultimately the end goal of the HOE; however, the cost and complexity of the device has hindered the rapid development toward full 3D visualization. The HOE is also used in the development of augmented reality(AR) by companies such as Google with Google Glass or in research universities that look to utilize HOEs to create 3D imaging without the use of eye-wear or head-wear. Furthermore, the ability of the HOE to allow for transparent displays have caught the attention of the US military in its development of better head-up displays (HUD) which is used to display crucial information for aircraft pilots.

References

  1. Ronse, K. (1994). "Fundamental principles of phase shifting masks by Fourier optics: Theory and experimental verification". Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures. American Vacuum Society. 12 (2): 589–600. doi:10.1116/1.587395. ISSN   0734-211X.
  2. Saleh, Bahaa; Teich, M. C. (1991). Fundamentals of photonics. New York: Wiley. pp. 364–365. ISBN   978-0-471-83965-1. OCLC   22511619.
  3. Kroyan, Armen; Levenson, David; Tittel, Frank K. (1998-06-29). Van den Hove, Luc (ed.). Coping with the impact of lens aberrations in the context of wavefront engineering. Vol. 3334. SPIE. p. 832. doi:10.1117/12.310817. ISSN   0277-786X.
  4. Levinson, Harry J. (2005). Principles of lithography (2nd ed.). Bellingham, Wash: SPIE. pp. 274–276. ISBN   978-0-8194-5660-1. OCLC   435971871.
  5. Kling, Michael E.; Cave, Nigel; Falch, Bradley J.; Fu, Chong-Cheng; Green, Kent G.; et al. (1999-07-26). Van den Hove, Luc (ed.). Practicing extension of 248-nm DUV optical lithography using trim-mask PSM. Vol. 3679. SPIE. pp. 10–17. doi:10.1117/12.354297. ISSN   0277-786X.
  6. Choi, Yang-Kyu; Zhu, Ji; Grunes, Jeff; Bokor, Jeffrey; Somorjai, Gabor. A. (2003-03-20). "Fabrication of Sub-10-nm Silicon Nanowire Arrays by Size Reduction Lithography". The Journal of Physical Chemistry B. American Chemical Society (ACS). 107 (15): 3340–3343. doi:10.1021/jp0222649. ISSN   1520-6106.
  7. Stirniman, John P.; Rieger, Michael L. (1994-05-17). Brunner, Timothy A. (ed.). Fast proximity correction with zone sampling. Vol. 2197. SPIE. pp. 294–301. doi:10.1117/12.175423. ISSN   0277-786X.
  8. Kohli, Kriti K.; Jobes, Mark; Graur, Ioana (2017-03-17). Erdmann, Andreas; Kye, Jongwook (eds.). Automated detection and classification of printing sub-resolution assist features using machine learning algorithms. Vol. 10147. SPIE. p. 101470O. doi:10.1117/12.2261417. ISSN   0277-786X.
  9. Stochastic Printing of Sub-Resolution Assist Features
  10. Pang, Linyong; Hu, Peter; Peng, Danping; Chen, Dongxue; Cecil, Tom; et al. (2009-12-03). Chen, Alek C.; Han, Woo-Sung; Lin, Burn J.; Yen, Anthony (eds.). Source mask optimization (SMO) at full chip scale using inverse lithography technology (ILT) based on level set methods. Vol. 7520. SPIE. p. 75200X. doi:10.1117/12.843578. ISSN   0277-786X.
  11. Nagahara, Seiji; Yoshimochi, Kazuyuki; Yamazaki, Hiroshi; Takeda, Kazuhiro; Uchiyama, Takayuki; et al. (2010-03-11). Dusa, Mircea V.; Conley, Will (eds.). SMO for 28-nm logic device and beyond: impact of source and mask complexity on lithography performance. Vol. 7640. SPIE. p. 76401H. doi:10.1117/12.846473. ISSN   0277-786X.
  12. Shi, Xuelong; Hsu, Stephen; Chen, J. Fung; Hsu, Chungwei Michael; Socha, Robert J.; Dusa, Mircea V. (2002-07-01). Herr, Daniel J. C. (ed.). Understanding the forbidden pitch phenomenon and assist feature placement. Vol. 4689. SPIE. p. 985. doi:10.1117/12.473427. ISSN   0277-786X.
  13. Mochi, Iacopo; Philipsen, Vicky; Gallagher, Emily; Hendrickx, Eric; Lyakhova, Kateryna; et al. (2016-03-18). Panning, Eric M.; Goldberg, Kenneth A. (eds.). Assist features: placement, impact, and relevance for EUV imaging. Vol. 9776. SPIE. p. 97761S. doi:10.1117/12.2220025. ISSN   0277-786X.