Next-generation lithography

Last updated

Next-generation lithography or NGL is a term used in integrated circuit manufacturing to describe the lithography technologies in development which are intended to replace current techniques. Driven by Moore's law in the semiconductor industries, the shrinking of the chip size and critical dimension continues. The term applies to any lithography method which uses a shorter-wavelength light or beam type than the current state of the art, such as X-ray lithography, electron beam lithography, focused ion beam lithography, and nanoimprint lithography. The term may also be used to describe techniques which achieve finer resolution features from an existing light wavelength.

Contents

Many technologies once termed "next generation" have entered commercial production, and open-air photolithography, with visible light projected through hand-drawn photomasks, has gradually progressed to deep-UV immersion lithography using optical proximity correction, inverse lithography technology, off-axis illumination, phase-shift masks, double patterning, and multiple patterning. In the late 2010s, the combination of many such techniques was able to achieve features on the order of 20 nm with the 193 nm-wavelength ArF excimer laser in the 14 nm, 10 nm and 7 nm processes, though at the cost of adding processing steps and therefore cost.

13.5 nm extreme ultraviolet (EUV) lithography, long considered a leading candidate for next-generation lithography, began to enter commercial mass-production in 2018. [1] As of 2021, Samsung and TSMC were gradually phasing EUV lithography into their production lines, as it became economical to replace multiple processing steps with single EUV steps. As of the early 2020s, many EUV techniques are still in development and many challenges remain to be solved, positioning EUV lithography as being in transition from "next generation" to "state of the art."

Candidates for next-generation lithography beyond EUV include X-ray lithography, electron beam lithography, focused ion beam lithography, nanoimprint lithography, and quantum lithography. Several of these technologies have experienced periods of popularity, but have remained outcompeted by the continuing improvements in photolithography. Electron beam lithography was most popular during the 1970s, but was replaced in popularity by X-ray lithography during the 1980s and early 1990s, and then by EUV lithography from the mid-1990s to the mid-2000s. Focused ion beam lithography has carved a niche for itself in the area of defect repair. Nanoimprint's popularity is rising, and is positioned to succeed EUV as the most popular choice[ citation needed ] for next-generation lithography, due to its inherent simplicity and low cost of operation as well as its success in the LED, hard disk drive and microfluidics sectors.

The rise and fall in popularity of each NGL candidate has largely hinged on its throughput capability and its cost of operation and implementation. Electron beam and nanoimprint lithography are limited mainly by the throughput, while EUV and X-ray lithography are limited by implementation and operation costs. The projection of charged particles (ions or electrons) through stencil masks was also popularly considered in the early 2000s but eventually fell victim to both low throughput and implementation difficulties.

Issues

Hypothetical NGL case @ 5 nm
NodeLeading chipmakerLagging chipmaker
No changeWith NGL
180 nm KrF KrF-
130 nm KrFKrF
90nm ArF ArF
65 nm ArFArF
45/40 nm ArF immersionArF immersion
32/28 nm ArF immersionArF immersion
22/20 nm ArF immersion, double patterning ?Skipped multipatterning costs
16/14 nm ArF immersion, double patterning
10 nm ArF immersion, SADP/triple patterning
7 nm ArF immersion, SADP/SAQP
5 nm SAQP + additional lithographyNGL
The difficulty of extending optical lithography has been the main selling point of NGL. However, a leading chipmaker would benefit significantly less than a lagging chipmaker, due to the huge additional investments in extending optical lithography up to its current state. In this hypothetical case, introducing NGL would allow some chipmakers to skip several lithography generations.
Table based on File:Node_progress.png (2016, User:Guiding Light) (CCA-SA-3.0 unported)

Fundamental issues

Regardless of whether NGL or photolithography is used, etching of polymer (resist) is the last step. Ultimately the quality (roughness) as well as resolution of this polymer etching limits the inherent resolution of the lithography technique. Next generation lithography also generally makes use of ionizing radiation, leading to secondary electrons which can limit resolution to effectively > 20 nm. [2] [3] [4] Studies have also found that for NGL to reach LER (line edge roughness) objectives ways to control variables such as polymer size, image contrast and resist contrast must be found. [5]

Market issues

The above-mentioned competition between NGL and the recurring extension of photolithography, where the latter consistently wins, may be more a strategic than a technical matter. If a highly scalable NGL technology were to become readily available, late adopters of leading-edge technology would immediately have the opportunity to leapfrog the current use of advanced but costly photolithography techniques, at the expense of the early adopters of leading-edge technology, who have been the key investors in NGL. While this would level the playing field, it is disruptive enough to the industry landscape that the leading semiconductor companies would probably not want to see it happen.

The following example would make this clearer. Suppose company A manufactures down to 28 nm, while company B manufactures down to 7 nm, by extending its photolithography capability by implementing double patterning. If an NGL were deployed for the 5 nm node, both companies would benefit, but company A currently manufacturing at the 28 nm node would benefit much more because it would immediately be able to use the NGL for manufacturing at all design rules from 22 nm down to 7 nm (skipping all the said multiple patterning), while company B would only benefit starting at the 5 nm node, having already spent much on extending photolithography from its 22 nm process down to 7 nm. The gap between Company B, whose customers expect it to advance the leading edge, and Company A, whose customers don't expect an equally aggressive roadmap, will continue to widen as NGL is delayed and photolithography is extended at greater and greater cost, making the deployment of NGL less and less attractive strategically for Company B. With NGL deployment, customers will also be able to demand lower prices for products made at advanced generations.

This becomes more clear when considering that each resolution enhancement technique applied to photolithography generally extends the capability by only one or two generations. For this reason, the observation that "optical lithography will live forever" [6] will likely hold, as the early adopters of leading-edge technology will never benefit from highly scalable lithography technologies in a competitive environment.

There is therefore great pressure to deploy an NGL as soon as possible, but the NGL ultimately may be realized in the form of photolithography with more efficient multiple patterning, such as directed self-assembly or aggressive cut reduction.

See also

Related Research Articles

<span class="mw-page-title-main">MEMS</span> Very small devices that incorporate moving components

MEMS is the technology of microscopic devices incorporating both electronic and moving parts. MEMS are made up of components between 1 and 100 micrometres in size, and MEMS devices generally range in size from 20 micrometres to a millimetre, although components arranged in arrays can be more than 1000 mm2. They usually consist of a central unit that processes data and several components that interact with the surroundings.

Photolithography is a process used in the manufacturing of integrated circuits. It involves using light to transfer a pattern onto a substrate, typically a silicon wafer.

<span class="mw-page-title-main">Photoresist</span> Light-sensitive material used in making electronics

A photoresist is a light-sensitive material used in several processes, such as photolithography and photoengraving, to form a patterned coating on a surface. This process is crucial in the electronics industry.

<span class="mw-page-title-main">Photomask</span> Photolithographic Tool

A photomask is an opaque plate with transparent areas that allow light to shine through in a defined pattern. Photomasks are commonly used in photolithography for the production of integrated circuits to produce a pattern on a thin wafer of material. In semiconductor manufacturing, a mask is sometimes called a reticle.

<span class="mw-page-title-main">Electron-beam lithography</span> Lithographic technique that uses a scanning beam of electrons

Electron-beam lithography is the practice of scanning a focused beam of electrons to draw custom shapes on a surface covered with an electron-sensitive film called a resist (exposing). The electron beam changes the solubility of the resist, enabling selective removal of either the exposed or non-exposed regions of the resist by immersing it in a solvent (developing). The purpose, as with photolithography, is to create very small structures in the resist that can subsequently be transferred to the substrate material, often by etching.

Masklesslithography (MPL) is a photomask-less photolithography-like technology used to project or focal-spot write the image pattern onto a chemical resist-coated substrate by means of UV radiation or electron beam.

Nanolithography (NL) is a growing field of techniques within nanotechnology dealing with the engineering of nanometer-scale structures on various materials.

<span class="mw-page-title-main">Extreme ultraviolet lithography</span> Lithography using wavelength 13.5 nm UV light

Extreme ultraviolet lithography (EUVL) (also known simply as EUV) is a cutting-edge technology used in the semiconductor industry for manufacturing integrated circuits (ICs). It is a type of photolithography that uses extreme ultraviolet (EUV) light to create intricate patterns on silicon wafers.

<span class="mw-page-title-main">Stepper</span> Photolithographic Tool

A stepper is a device used in the manufacture of integrated circuits (ICs). It is an essential part of the process of photolithography, which creates millions of microscopic circuit elements on the surface of silicon wafers out of which chips are made. It is similar in operation to a slide projector or a photographic enlarger. The ICs that are made form the heart of computer processors, memory chips, and many other electronic devices.

<span class="mw-page-title-main">Nanoimprint lithography</span> Method of fabricating nanometer scale patterns using a special stamp

Nanoimprint lithography (NIL) is a method of fabricating nanometer-scale patterns. It is a simple nanolithography process with low cost, high throughput and high resolution. It creates patterns by mechanical deformation of imprint resist and subsequent processes. The imprint resist is typically a monomer or polymer formulation that is cured by heat or UV light during the imprinting. Adhesion between the resist and the template is controlled to allow proper release.

<span class="mw-page-title-main">Extreme ultraviolet</span> Ultraviolet light with a wavelength of 10–121nm

Extreme ultraviolet radiation or high-energy ultraviolet radiation is electromagnetic radiation in the part of the electromagnetic spectrum spanning wavelengths shorter that the hydrogen Lyman-alpha line from 121 nm down to the X-ray band of 10 nm, and therefore having photons with energies from 10.26 eV up to 124.24 eV. EUV is naturally generated by the solar corona and artificially by plasma, high harmonic generation sources and synchrotron light sources. Since UVC extends to 100 nm, there is some overlap in the terms.

Resolution enhancement technologies are methods used to modify the photomasks in the lithographic processes used to make integrated circuits to compensate for limitations in the optical resolution of the projection systems. These processes allow the creation of features well beyond the limit that would normally apply due to the Rayleigh criterion. Modern technologies allow the creation of features on the order of 5 nanometers (nm), far below the normal resolution possible using deep ultraviolet (DUV) light.

Contact lithography, also known as contact printing, is a form of photolithography whereby the image to be printed is obtained by illumination of a photomask in direct contact with a substrate coated with an imaging photoresist layer.

Interference lithography is a technique for patterning regular arrays of fine features, without the use of complex optical systems or photomasks.

<span class="mw-page-title-main">Multiple patterning</span> Technique used to increase the number of structures a microchip may contain

Multiple patterning is a class of technologies for manufacturing integrated circuits (ICs), developed for photolithography to enhance the feature density. It is expected to be necessary for the 10 nm and 7 nm node semiconductor processes and beyond. The premise is that a single lithographic exposure may not be enough to provide sufficient resolution. Hence additional exposures would be needed, or else positioning patterns using etched feature sidewalls would be necessary.

Microlithography is a general name for any manufacturing process that can create a minutely patterned thin film of protective materials over a substrate, such as a silicon wafer, in order to protect selected areas of it during subsequent etching, deposition, or implantation operations. The term is normally used for processes that can reliably produce features of microscopic size, such as 10 micrometres or less. The term nanolithography may be used to designate processes that can produce nanoscale features, such as less than 100 nanometres.

Computational lithography is the set of mathematical and algorithmic approaches designed to improve the resolution attainable through photolithography. Computational lithography came to the forefront of photolithography technologies in 2008 when the semiconductor industry faced challenges associated with the transition to a 22 nanometer CMOS microfabrication process and has become instrumental in further shrinking the design nodes and topology of semiconductor transistor manufacturing.

<span class="mw-page-title-main">X-ray lithography</span> Lithographic technique that uses X-rays instead of light

X-ray lithography is a process used in semiconductor device fabrication industry to selectively remove parts of a thin film of photoresist. It uses X-rays to transfer a geometric pattern from a mask to a light-sensitive chemical photoresist, or simply "resist," on the substrate to reach extremely small topological size of a feature. A series of chemical treatments then engraves the produced pattern into the material underneath the photoresist.

The argon fluoride laser is a particular type of excimer laser, which is sometimes called an exciplex laser. With its 193-nanometer wavelength, it is a deep ultraviolet laser, which is commonly used in the production of semiconductor integrated circuits, eye surgery, micromachining, and scientific research. "Excimer" is short for "excited dimer", while "exciplex" is short for "excited complex". An excimer laser typically uses a mixture of a noble gas and a halogen gas, which under suitable conditions of electrical stimulation and high pressure, emits coherent stimulated radiation in the ultraviolet range.

Shanghai Micro Electronics Equipment (Group) Co., Ltd. (SMEE), is a manufacturer of semiconductor manufacturing equipment based in Shanghai, China. The company is involved in the research, development, manufacture and sale of lithography scanners and inspection tools to the semiconductor manufacturing industry; it also provides support services for its machines to its customers.

References

  1. Tallis, Anton Shilov, Billy. "Samsung Starts Mass Production of Chips Using Its 7nm EUV Process Tech". www.anandtech.com.{{cite web}}: CS1 maint: multiple names: authors list (link)
  2. "K. W. Lee et al., J. Kor. Phys. Soc. 55, 1720 (2009)". Archived from the original on 22 July 2011.
  3. Chen, Fred. "The Complexities of the Resolution Limits of Advanced Lithography".
  4. "The Complexities of the Resolution Limits of Advanced Lithography". www.linkedin.com.
  5. L. Brainard, Robert; G. Barclay, George; H. Anderson, Erik; E. Ocola, Leonidas (July 2002). "Resists for next generation lithography" (PDF). Microelectronic Engineering. 61–62: 707–715. doi:10.1016/S0167-9317(02)00564-6. S2CID   56089439.
  6. T. A. Brunner, J. Vac. Sci. Tech. B, vol. 21, pp. 2632–2637 (2003).