Conductive atomic force microscopy

Last updated
Topographic (left) and current (right) maps collected with CAFM on a polycrystalline HfO2 stack. The images show very good spatial correlation. Topographic (left) and current (right) maps collected with CAFM on a polycrystalline HfO2 stack.png
Topographic (left) and current (right) maps collected with CAFM on a polycrystalline HfO2 stack. The images show very good spatial correlation.

In microscopy, conductive atomic force microscopy (C-AFM) or current sensing atomic force microscopy (CS-AFM) is a mode in atomic force microscopy (AFM) that simultaneously measures the topography of a material and the electric current flow at the contact point of the tip with the surface of the sample. The topography is measured by detecting the deflection of the cantilever using an optical system (laser + photodiode), while the current is detected using a current-to-voltage preamplifier. [1] The fact that the CAFM uses two different detection systems (optical for the topography and preamplifier for the current) is a strong advantage compared to scanning tunneling microscopy (STM). Basically, in STM the topography picture is constructed based on the current flowing between the tip and the sample (the distance can be calculated depending on the current). Therefore, when a portion of a sample is scanned with an STM, it is not possible to discern if the current fluctuations are related to a change in the topography (due to surface roughness) or to a change in the sample conductivity (due to intrinsic inhomogeneities).

Contents

The CAFM is usually operated in contact mode; the tip can be kept at one location while the voltage and current signals are applied/read, or it can be moved to scan a specific region of the sample under a constant voltage (and the current is collected). Recently, some manufacturers provide the option of measuring the current in semi-contact mode. [2] The CAFM was first developed by Sean O'Shea and co-workers at the University of Cambridge in 1993, [3] and it is referred to in the literature by several names, including C-AFM, local-conductivity AFM (LC-AFM), conductive probe AFM (CP-AFM), conductive scanning probe microscopy (C-SPM) or conductive scanning force microscopy (C-SFM), although CAFM is the most widespread.

Working principle

In order to transform an AFM into a CAFM, three elements are required: i) the probe tip must be conductive, ii) a voltage source is needed to apply a potential difference between the tip and the sample holder, and iii) a preamplifier is used to convert the (analogical) current signal into (digital) voltages that can be read by the computer. [1] In CAFM experiments, the sample is usually fixed on the sample holder using a conductive tape or paste, being silver paints the most widespread. [4] A Faraday cage is also convenient to isolate the sample from any external electrical interference. Using this setup, when a potential difference is imposed between tip and sample an electrical field is generated, which results in a net current flowing from tip-to-sample or vice versa. The currents collected by the CAFM obey the relationship:

where I is the total current flowing through the tip/sample nanojunction, J is the current density and Aeff is the effective emission area through which electrons can flow (from now on we will refer to it just as effective area). [1] The most common mistake in CAFM research is to assume that the effective emission area (Aeff) equals the physical contact area (Ac). Strictly, this assumption is erroneous because in many different tip/sample systems the electrical field applied may propagate laterally. For example, when the CAFM tip is placed on a metal the lateral conductivity of the sample is very high, making (in principle) the whole sample surface area electrically connected (Aeff equals the area covered by the metallic film/electrode). [5] [6] Aeff has been defined as:"the sum of all those infinitesimal spatial locations on the surface of the sample that are electrically connected to the CAFM tip (the potential difference is negligible). As such, Aeff is a virtual entity that summarizes all electrically relevant effects within the tip/sample contact system into a single value, over which the current density is assumed to be constant." [1] Therefore, when the CAFM tip is placed in contact with a metal (a metallic sample or just a metallic pad on an insulator), the lateral conductivity of the metal is very high, and the CAFM tip can be understood as a current collector (nanosized probe station); [1] [5] [6] on the contrary, if the CAFM tip is placed directly on an insulator, it acts as a nanosized electrode and provides a very high lateral resolution. The value of Aeff when a Pt-Ir coated tip (with a typical radius of 20 nm) is placed on a SiO2 insulating film has been calculated to be typically 50 nm2. [7] [8] [9] [10] [11] [12] [13] The value of Aeff can fluctuate depending on the environmental conditions, and it can range from 1 nm2 in ultra high vacuum (UHV) to 300 nm2 in very humid environments. [14] [15] [16] On well-defined single crystal surfaces under UHV conditions it has even been demonstrated that measurements of the local conductivity with atomic resolution are possible. [17]

Applications

Visualization of conductive filaments in HfO2 thin films for RRAM memories via CAFM. Visualization of conuctive filaments in HfO2 thin films for RRAM memories.png
Visualization of conductive filaments in HfO2 thin films for RRAM memories via CAFM.

CAFM was initially used in the field of nanoelectronics to monitor the electrical properties of thin dielectrics with very high lateral resolution. The first CAFM development in 1993 had the goal of studying the local tunneling currents through 12 nm thick SiO2 films. [3] In 1995 and 1996, O'Shea [18] and Ruskell [19] further improved the lateral resolution of the CAFM technique, achieving values of 10 nm and 8 nm, respectively. This enhanced resolution allowed to observe the first topographic-current correlations, and the inhomogeneity observed in the current maps was associated to the presence of local native defects in the oxide. Following works by Olbrich [20] [21] [22] and Ebersberger [23] reported that, in SiO2 films thinner than 5 nm, the tunneling current increases exponentially with thickness reductions. Consequently, thickness fluctuations of tenths of nanometer in the SiO2 film could create electrically weak spots that reduce the reliability of the whole dielectric film, as the dielectric breakdown (BD) is a stochastic process. The capability of the CAFM for determining the thickness of thin oxides was further demonstrated by Frammelsberger and co-workers [7] [24] who statistically analyzed more than 7200 I-V curves, and reported SiO2 thicknesses with a sensitivity of ±0.3 nm. Other local phenomena like charge trapping, [25] trap assisted tunneling [26] [27] [28] [29] [30] [31] and stress induced leakage current (SILC) [32] can be also easily monitored with CAFM. In general, the CAFM can monitor the effect of any process that introduces local changes in the structure of the dielectric, including thermal annealing, [33] [34] [12] [35] [36] [16] [37] dopping [38] and irradiation, [39] [40] [41] among others.

Number of publications and citations referring to CAFM per year up to June 14th of 2016 (source Web of Science) Evolution of publications and citations with CAFM.jpg
Number of publications and citations referring to CAFM per year up to June 14th of 2016 (source Web of Science)

Apart from monitoring the electrical properties of a dielectric, the CAFM can be also used to alter its properties by applying an electrical field locally. In particular, the CAFM is especially useful to determine which locations of the samples lead to premature BD, which can provide essential information about the reliability of the samples. The CAFM also helped to confirm the percolation theory of the BD by experimentally proving that this is a very local phenomenon that occurs in small areas typically below 100 nm2. [32] Lateral propagations of the BD event can also be detected by CAFM. [15] [42] [43] The severity of the BD event can also be studied from the dielectric breakdown induced epitaxy, [26] [44] [45] [46] which can be observed from subsequent topographic images collected with the CAFM after the voltage ramp. Similarly, the analysis of the BD recovery (resistive switching, RS) can also be monitored by CAFM. [47] [48] [49] [50] All the capabilities of the CAFM for studying resistive switching in dielectrics have been summarized in the review article of reference. [51] Unlike a normal AFM, the CAFM can be also used to perform local photolithography via bias-assisted local anodic oxidation (LAO). Nowadays the CAFM technique has expanded to many other fields of science, including physics, materials science, chemistry and engineering (among many others), and it has been used to study different materials and/or structures, including nanoparticles, [52] [53] molecules, [54] nanowires, [55] carbon nanotubes, [56] two dimensional (2D) materials, [57] [58] [59] [60] [61] coatings, [62] [63] [64] photoelectricity [65] and piezoelectricity [66] (among others). As of June 14 of 2016, the CAFM had been used in 1325 journal research articles, and it has become a popular tool in nanosciences. [1]

CAFM probes

(Left) Standard metal-varnished nanoprobe for CAFM. (Right) Standard metal-varnished nanoprobe for CAFM coated with a thin film of single layer graphene. (Center) Schematic of the graphene coated nanoprobe. Graphene coated CAFM tips.jpg
(Left) Standard metal-varnished nanoprobe for CAFM. (Right) Standard metal-varnished nanoprobe for CAFM coated with a thin film of single layer graphene. (Center) Schematic of the graphene coated nanoprobe.

The main problem of the CAFM is that the probes are more expensive and wear out faster than those used in topographic AFM maps, mainly due to the high current densities flowing through the tip/sample nanojunction, but also due to lateral friction. The premature degradation of a CAFM tip not only increases the cost of the experiments, but also reduces the reliability of the data collected. For this reason, when using CAFM, tip conductivity characterization (using a reference sample) before and after the experiments is highly recommended; only if the CAFM tip holds the same conductivity before and after is the data collected considered reliable. The first types of conductive nanoprobes used in CAFM experiments, which are still widely used nowadays, consist on standard silicon nanoprobes (as those used in topographic AFM measurements) varnished with thin metallic films, including Pt, Au, Ru, Ti and Cr, among others. [3] [7] [67] The varnish should be thick enough to withstand the large current densities and frictions, and at the same time thin enough to not increase significantly the radius of the tip apex, maintaining its sharpness and ensuring a high lateral resolution of the CAFM technique. As mentioned, the lifetime of the metal-varnished tips for CAFM experiments is much shorter than in any other AFM mode, mainly due to metallic varnish melting and loss of tip mass during the scans. To solve this problem, CAFM silicon tips varnished with hard materials like phosphorus-doped diamond have appeared. [67] The main problems of diamond-coapted CAFM tips are: i) they are much more expensive, and ii) they are very stiff and can damage (scratch) the surface of the samples under tests. Another option is to use sharpened metallic wires as the tip, but also the use of hone techniques increases their price (compared to metal-coated Si tips). Furthermore, these tips can also degrade (lose their conductivity) by particle adhesion. A cheap and effective methodology to protect CAFM tips from degrading is to coat them with graphene, which can withstand well the high current densities and mechanical friction. Moreover, graphene is inert and slows down particle adhesion to the tip apex.

The preamplifier

Schematic of a basic current-to-voltage preamplifier for CAFM. Schematic for a basic CAFM preamplifier.png
Schematic of a basic current-to-voltage preamplifier for CAFM.

The analogical current signals flowing through the tip/sample nanojunction are sent to the preamplifier, which transforms them into digital voltages that can be read by the data acquisition (DAQ) card of the computer. Many manufacturers integrate the preamplifier in the so-called "CAFM application module", which is a removable component that can be fixed to the AFM (usually very near to the tip to minimize the electrical noise) to perform conductivity measurements. Similarly, many other modules allow AFMs to perform other operations, like scanning capacitance microscopy (SCM) or scanning spreading resistance microscopy (SSRM). In most CAFM experiments the currents measured typically can range between a few picoamperes and hundreds of microamperes, while the voltages readable by the DAQ card usually range between -3 V to +3V. [68] Therefore, the preamplifier needs to provide a very low noise and a high transimpedance (gain). Figure 2 shows the simplified schematic of a typical low noise preamplifier for CAFM measurements, [69] in which some elements can be distinguished: i) an operational amplifier with high input impedance; ii) a feedback resistor (Rf) and its parasite capacitor (Cs) and Johnson noise (et) associated effects; iii) a noise voltage source associated to the operational amplifier (en); and iv) a capacitance associated to the input interconnections (Ci). A correct selection of the electrical components is essential to achieve good and reliable CAFM data acquisition. For example, the value of Rf is not trivial: a very high value of Rf improves the noise-signal ratio, while reduces the bandwidth of the preamplifier. Therefore, the value of Rf should be chosen to provide enough bandwidth and a noise level below the current values that we want to measure. The parameter en can be easily reduced by using a commercial low noise operational amplifier. The capacitance associated to the connections (Ci) can be easily minimized by placing the preamplifier as near as possible to the conductive tip. The company FEMTO, one of the world leading manufacturers of preamplifiers compatible with CAFMs, can provide devices with electrical noise as low as 3 fA and a gain up to 1013 V/A. [70] Nevertheless, the main limitation of CAFM preamplifiers is their narrow current dynamic range, which usually allows collecting electrical signals only within three or four orders of magnitude (or even less). To solve this problem preamplifiers with an adjustable gain can be used to focus on specific ranges. [70] A more sophisticated solution for this problem is to combine the CAFM with a sourcemeter, [71] [72] semiconductor parameter analyzer or with a logarithmic preamplifier, [73] which can capture the currents flowing through the tip/sample system at any range and with a high resolution.

Related Research Articles

<span class="mw-page-title-main">Atomic force microscopy</span> Type of microscopy

Atomic force microscopy (AFM) or scanning force microscopy (SFM) is a very-high-resolution type of scanning probe microscopy (SPM), with demonstrated resolution on the order of fractions of a nanometer, more than 1000 times better than the optical diffraction limit.

Scanning probe microscopy (SPM) is a branch of microscopy that forms images of surfaces using a physical probe that scans the specimen. SPM was founded in 1981, with the invention of the scanning tunneling microscope, an instrument for imaging surfaces at the atomic level. The first successful scanning tunneling microscope experiment was done by Gerd Binnig and Heinrich Rohrer. The key to their success was using a feedback loop to regulate gap distance between the sample and the probe.

<span class="mw-page-title-main">Magnetic force microscope</span>

Magnetic force microscopy (MFM) is a variety of atomic force microscopy, in which a sharp magnetized tip scans a magnetic sample; the tip-sample magnetic interactions are detected and used to reconstruct the magnetic structure of the sample surface. Many kinds of magnetic interactions are measured by MFM, including magnetic dipole–dipole interaction. MFM scanning often uses non-contact AFM (NC-AFM) mode.

<span class="mw-page-title-main">Kelvin probe force microscope</span> Noncontact variant of atomic force microscopy

Kelvin probe force microscopy (KPFM), also known as surface potential microscopy, is a noncontact variant of atomic force microscopy (AFM). By raster scanning in the x,y plane the work function of the sample can be locally mapped for correlation with sample features. When there is little or no magnification, this approach can be described as using a scanning Kelvin probe (SKP). These techniques are predominantly used to measure corrosion and coatings.

Nanotribology is the branch of tribology that studies friction, wear, adhesion and lubrication phenomena at the nanoscale, where atomic interactions and quantum effects are not negligible. The aim of this discipline is characterizing and modifying surfaces for both scientific and technological purposes.

<span class="mw-page-title-main">Near-field scanning optical microscope</span>

Near-field scanning optical microscopy (NSOM) or scanning near-field optical microscopy (SNOM) is a microscopy technique for nanostructure investigation that breaks the far field resolution limit by exploiting the properties of evanescent waves. In SNOM, the excitation laser light is focused through an aperture with a diameter smaller than the excitation wavelength, resulting in an evanescent field on the far side of the aperture. When the sample is scanned at a small distance below the aperture, the optical resolution of transmitted or reflected light is limited only by the diameter of the aperture. In particular, lateral resolution of 6 nm and vertical resolution of 2–5 nm have been demonstrated.

Resistive random-access memory is a type of non-volatile (NV) random-access (RAM) computer memory that works by changing the resistance across a dielectric solid-state material, often referred to as a memristor.

Scanning capacitance microscopy (SCM) is a variety of scanning probe microscopy in which a narrow probe electrode is positioned in contact or close proximity of a sample's surface and scanned. SCM characterizes the surface of the sample using information obtained from the change in electrostatic capacitance between the surface and the probe.

<span class="mw-page-title-main">Scanning thermal microscopy</span>

Scanning thermal microscopy (SThM) is a type of scanning probe microscopy that maps the local temperature and thermal conductivity of an interface. The probe in a scanning thermal microscope is sensitive to local temperatures – providing a nano-scale thermometer. Thermal measurements at the nanometer scale are of both scientific and industrial interest. The technique was invented by Clayton C. Williams and H. Kumar Wickramasinghe in 1986.

<span class="mw-page-title-main">Local oxidation nanolithography</span>

Local oxidation nanolithography (LON) is a tip-based nanofabrication method. It is based on the spatial confinement on an oxidation reaction under the sharp tip of an atomic force microscope.

In microscopy, scanning joule expansion microscopy (SJEM) is a form of scanning probe microscopy heavily based on atomic force microscopy (AFM) that maps the temperature distribution along a surface. Resolutions down to 10 nm have been achieved and 1 nm resolution is theoretically possible. Thermal measurements at the nanometer scale are of both academic and industrial interest, particularly in regards to nanomaterials and modern integrated circuits.

<span class="mw-page-title-main">Photoconductive atomic force microscopy</span> Type of atomic force microscopy

Photoconductive atomic force microscopy (PC-AFM) is a variant of atomic force microscopy that measures photoconductivity in addition to surface forces.

The technique of vibrational analysis with scanning probe microscopy allows probing vibrational properties of materials at the submicrometer scale, and even of individual molecules. This is accomplished by integrating scanning probe microscopy (SPM) and vibrational spectroscopy. This combination allows for much higher spatial resolution than can be achieved with conventional Raman/FTIR instrumentation. The technique is also nondestructive, requires non-extensive sample preparation, and provides more contrast such as intensity contrast, polarization contrast and wavelength contrast, as well as providing specific chemical information and topography images simultaneously.

Nanosensors is a company that manufactures probes for use in atomic force microscopes (AFM) and scanning probe microscopes (SPM).

<span class="mw-page-title-main">Non-contact atomic force microscopy</span>

Non-contact atomic force microscopy (nc-AFM), also known as dynamic force microscopy (DFM), is a mode of atomic force microscopy, which itself is a type of scanning probe microscopy. In nc-AFM a sharp probe is moved close to the surface under study, the probe is then raster scanned across the surface, the image is then constructed from the force interactions during the scan. The probe is connected to a resonator, usually a silicon cantilever or a quartz crystal resonator. During measurements the sensor is driven so that it oscillates. The force interactions are measured either by measuring the change in amplitude of the oscillation at a constant frequency just off resonance or by measuring the change in resonant frequency directly using a feedback circuit to always drive the sensor on resonance.

Nanoprobing is method of extracting device electrical parameters through the use of nanoscale tungsten wires, used primarily in the semiconductor industry. The characterization of individual devices is instrumental to engineers and integrated circuit designers during initial product development and debug. It is commonly utilized in device failure analysis laboratories to aid with yield enhancement, quality and reliability issues and customer returns. Commercially available nanoprobing systems are integrated into either a vacuum-based scanning electron microscope (SEM) or atomic force microscope (AFM). Nanoprobing systems that are based on AFM technology are referred to as Atomic Force nanoProbers (AFP).

The operation of a photon scanning tunneling microscope (PSTM) is analogous to the operation of an electron scanning tunneling microscope, with the primary distinction being that PSTM involves tunneling of photons instead of electrons from the sample surface to the probe tip. A beam of light is focused on a prism at an angle greater than the critical angle of the refractive medium in order to induce total internal reflection within the prism. Although the beam of light is not propagated through the surface of the refractive prism under total internal reflection, an evanescent field of light is still present at the surface.

A probe tip is an instrument used in scanning probe microscopes (SPMs) to scan the surface of a sample and make nano-scale images of surfaces and structures. The probe tip is mounted on the end of a cantilever and can be as sharp as a single atom. In microscopy, probe tip geometry and the composition of both the tip and the surface being probed directly affect resolution and imaging quality. Tip size and shape are extremely important in monitoring and detecting interactions between surfaces. SPMs can precisely measure electrostatic forces, magnetic forces, chemical bonding, Van der Waals forces, and capillary forces. SPMs can also reveal the morphology and topography of a surface.

<span class="mw-page-title-main">Multi-tip scanning tunneling microscopy</span>

Multi-tip scanning tunneling microscopy extends scanning tunneling microscopy (STM) from imaging to dedicated electrical measurements at the nanoscale like a ″multimeter at the nanoscale″. In materials science, nanoscience, and nanotechnology, it is desirable to measure electrical properties at a particular position of the sample. For this purpose, multi-tip STMs in which several tips are operated independently have been developed. Apart from imaging the sample, the tips of a multi-tip STM are used to form contacts to the sample at desired locations and to perform local electrical measurements.

Bimodal Atomic Force Microscopy is an advanced atomic force microscopy technique characterized by generating high-spatial resolution maps of material properties. Topography, deformation, elastic modulus, viscosity coefficient or magnetic field maps might be generated. Bimodal AFM is based on the simultaneous excitation and detection of two eigenmodes (resonances) of a force microscope microcantilever.

References

  1. 1 2 3 4 5 6 Lanza, Mario (2017). Conductive Atomic Force Microscopy. Berlin, Germany: Wiley-VCH. p. 400. ISBN   978-3-527-34091-0.
  2. "PeakForce TUNA - Bruker AFM Probes". www.brukerafmprobes.com. Retrieved 2017-02-04.
  3. 1 2 3 Murrell, M. P.; Welland, M. E.; O'Shea, S. J.; Wong, T. M. H.; Barnes, J. R.; McKinnon, A. W.; Heyns, M.; Verhaverbeke, S. (1993-02-15). "Spatially resolved electrical measurements of SiO2 gate oxides using atomic force microscopy". Applied Physics Letters. 62 (7): 786–788. Bibcode:1993ApPhL..62..786M. doi:10.1063/1.108579. ISSN   0003-6951.
  4. "Silver Paints/Pastes : SPI Supplies". www.2spi.com. Retrieved 2017-02-04.
  5. 1 2 Rommel, Mathias; Jambreck, Joachim D.; Lemberger, Martin; Bauer, Anton J.; Frey, Lothar; Murakami, Katsuhisa; Richter, Christoph; Weinzierl, Philipp (2012-11-29). "Influence of parasitic capacitances on conductive AFM I-V measurements and approaches for its reduction". Journal of Vacuum Science & Technology B, Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena. 31 (1): 01A108. Bibcode:2013JVSTB..31aA108R. doi:10.1116/1.4768679. ISSN   2166-2746.
  6. 1 2 Yanev, V.; Erlbacher, T.; Rommel, M.; Bauer, A.J.; Frey, L. (July 2009). "Comparative study between conventional macroscopic IV techniques and advanced AFM based methods for electrical characterization of dielectrics at the nanoscale". Microelectronic Engineering. 86 (7–9): 1911–1914. doi:10.1016/j.mee.2009.03.094.
  7. 1 2 3 Frammelsberger, Werner; Benstetter, Guenther; Kiely, Janice; Stamp, Richard (2007-01-30). "C-AFM-based thickness determination of thin and ultra-thin SiO2 films by use of different conductive-coated probe tips". Applied Surface Science. 253 (7): 3615–3626. Bibcode:2007ApSS..253.3615F. doi:10.1016/j.apsusc.2006.07.070.
  8. Zhang, Kai; Lanza, Mario; Shen, Ziyong; Fu, Qiang; Hou, Shimin; Porti, Marc; Nafría, Montserrat (2014-05-04). "Analysis of Factors in the Nanoscale Physical and Electrical Characterization of High-K Materials by Conductive Atomic Force Microscope". Integrated Ferroelectrics. 153 (1): 1–8. Bibcode:2014InFer.153....1Z. doi:10.1080/10584587.2014.902280. ISSN   1058-4587. S2CID   94116742.
  9. Pirrotta, Onofrio; Larcher, Luca; Lanza, Mario; Padovani, Andrea; Porti, Marc; Nafría, Montserrat; Bersuker, Gennadi (2013-10-01). "Leakage current through the poly-crystalline HfO2: Trap densities at grains and grain boundaries". Journal of Applied Physics. 114 (13): 134503–134503–5. Bibcode:2013JAP...114m4503P. doi:10.1063/1.4823854. ISSN   0021-8979.
  10. Lanza, M.; Porti, M.; Nafria, M.; Aymerich, X.; Sebastiani, A.; Ghidini, G.; Vedda, A.; Fasoli, M. (2009-12-01). "Combined Nanoscale and Device-Level Degradation Analysis of Layers of MOS Nonvolatile Memory Devices". IEEE Transactions on Device and Materials Reliability. 9 (4): 529–536. doi:10.1109/TDMR.2009.2027228. ISSN   1530-4388. S2CID   28464435.
  11. Lanza, M.; Porti, M.; Nafría, M.; Aymerich, X.; Ghidini, G.; Sebastiani, A. (September 2009). "Trapped charge and stress induced leakage current (SILC) in tunnel SiO2 layers of de-processed MOS non-volatile memory devices observed at the nanoscale". Microelectronics Reliability. 49 (9–11): 1188–1191. doi:10.1016/j.microrel.2009.06.016.
  12. 1 2 Lanza, M.; Porti, M.; Nafria, M.; Aymerich, X.; Benstetter, G.; Lodermeier, E.; Ranzinger, H.; Jaschke, G.; Teichert, S.; Wilde, L.; Michalowski, P. (July 2009). "Crystallization and silicon diffusion nanoscale effects on the electrical properties of Al2O3 based devices". Microelectronic Engineering. 86 (7–9): 1921–1924. doi:10.1016/j.mee.2009.03.020.
  13. Lanza, M.; Porti, M.; Nafria, M.; Benstetter, G.; Frammelsberger, W.; Ranzinger, H.; Lodermeier, E.; Jaschke, G. (September 2007). "Influence of the manufacturing process on the electrical properties of thin (<4 nm) Hafnium based high-k stacks observed with CAFM". Microelectronics Reliability. 47 (9–11): 1424–1428. doi:10.1016/j.microrel.2007.07.045.
  14. Lanza, M.; Porti, M.; Nafría, M.; Aymerich, X.; Whittaker, E.; Hamilton, B. (2010-10-01). "Note: Electrical resolution during conductive atomic force microscopy measurements under different environmental conditions and contact forces". Review of Scientific Instruments. 81 (10): 106110–106110–3. Bibcode:2010RScI...81j6110L. doi:10.1063/1.3491956. ISSN   0034-6748. PMID   21034138.
  15. 1 2 Lanza, M.; Porti, M.; Nafría, M.; Aymerich, X.; Whittaker, E.; Hamilton, B. (September 2010). "UHV CAFM characterization of high-k dielectrics: Effect of the technique resolution on the pre- and post-breakdown electrical measurements". Microelectronics Reliability. 50 (9–11): 1312–1315. doi:10.1016/j.microrel.2010.07.049.
  16. 1 2 Lanza, Mario; Iglesias, Vanessa; Porti, Marc; Nafria, Montse; Aymerich, Xavier (2011-01-31). "Polycrystallization effects on the nanoscale electrical properties of high-k dielectrics". Nanoscale Research Letters. 6 (1): 108. Bibcode:2011NRL.....6..108L. doi:10.1186/1556-276x-6-108. ISSN   1556-276X. PMC   3211152 . PMID   21711617.
  17. Rodenbücher, C.; Bihlmayer, G.; Speier, W.; Kubacki, J.; Wojtyniak, M.; Rogala, M.; Wrana, D.; Krok, F.; Szot, K. (2018). "Local surface conductivity of transition metal oxides mapped with true atomic resolution". Nanoscale. 10 (24): 11498–11505. arXiv: 1611.07773 . doi:10.1039/C8NR02562B. PMID   29888770. S2CID   47013247.
  18. O'Shea, S. J.; Atta, R. M.; Murrell, M. P.; Welland, M. E. (1995-09-01). "Conducting atomic force microscopy study of silicon dioxide breakdown". Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena. 13 (5): 1945–1952. Bibcode:1995JVSTB..13.1945O. doi:10.1116/1.588113. ISSN   1071-1023.
  19. Ruskell, Todd G.; Workman, Richard K.; Chen, Dong; Sarid, Dror; Dahl, Sarah; Gilbert, Stephen (1996-01-01). "High resolution Fowler-Nordheim field emission maps of thin silicon oxide layers". Applied Physics Letters. 68 (1): 93–95. Bibcode:1996ApPhL..68...93R. doi:10.1063/1.116782. ISSN   0003-6951.
  20. Olbrich, A.; Ebersberger, B.; Boit, C. (1998-03-01). "Nanoscale electrical characterization of thin oxides with conducting atomic force microscopy". 1998 IEEE International Reliability Physics Symposium Proceedings 36th Annual (Cat No 98CH36173) RELPHY-98. pp. 163–168. doi:10.1109/RELPHY.1998.670490. ISBN   978-0-7803-4400-6. S2CID   110367701.
  21. Olbrich, Alexander; Ebersberger, Bernd; Boit, Christian (1998-11-19). "Conducting atomic force microscopy for nanoscale electrical characterization of thin SiO2". Applied Physics Letters. 73 (21): 3114–3116. Bibcode:1998ApPhL..73.3114O. doi:10.1063/1.122690. ISSN   0003-6951.
  22. Olbrich, Alexander; Ebersberger, Bernd; Boit, Christian; Vancea, J.; Hoffmarm, H. (June 1999). "A new AFM-based tool for testing dielectric quality and reliability on a nanometer scale". Microelectronics Reliability. 39 (6–7): 941–946. doi:10.1016/S0026-2714(99)00127-4.
  23. Ebersberger, B.; Boit, C.; Benzinger, H.; Gunther, E. (1996-04-01). "Thickness mapping of thin dielectrics with emission microscopy and conductive atomic force microscopy for assessment of dielectrics reliability". Proceedings of International Reliability Physics Symposium RELPHY-96. pp. 126–130. doi:10.1109/RELPHY.1996.492072. ISBN   978-0-7803-2753-5. S2CID   109344701.
  24. Frammelsberger, Werner; Benstetter, Guenther; Kiely, Janice; Stamp, Richard (2006). "Thickness determination of thin and ultra-thin SiO2 films by C-AFM IV-spectroscopy". Applied Surface Science. 252 (6): 2375–2388. Bibcode:2006ApSS..252.2375F. doi:10.1016/j.apsusc.2005.04.010.
  25. Polspoel, W.; Vandervorst, W. (March 2007). "Evaluation of trap creation and charging in thin SiO2 using both SCM and C-AFM". Microelectronic Engineering. 84 (3): 495–500. doi:10.1016/j.mee.2006.10.074.
  26. 1 2 Nasyrov, K. A.; Shaimeev, S. S.; Gritsenko, V. A. (2009-12-24). "Trap-assisted tunneling hole injection in SiO2: Experiment and theory". Journal of Experimental and Theoretical Physics. 109 (5): 786. Bibcode:2009JETP..109..786N. doi:10.1134/S1063776109110089. ISSN   1063-7761. S2CID   122592036.
  27. Fiorenza, Patrick; Polspoel, Wouter; Vandervorst, Wilfried (2006-05-29). "Conductive atomic force microscopy studies of thin SiO2 layer degradation". Applied Physics Letters. 88 (22): 222104. Bibcode:2006ApPhL..88v2104F. doi:10.1063/1.2208370. ISSN   0003-6951.
  28. Wu, You-Lin; Lin, Shi-Tin (2006-03-01). "Two-trap-assisted tunneling model for post-breakdown I-V characteristics in ultrathin silicon dioxide". IEEE Transactions on Device and Materials Reliability. 6 (1): 75–80. doi:10.1109/TDMR.2006.870351. ISSN   1530-4388.
  29. Pakes, C. I.; Ramelow, S.; Prawer, S.; Jamieson, D. N. (2004-04-13). "Nanoscale electrical characterization of trap-assisted quasibreakdown fluctuations in SiO2". Applied Physics Letters. 84 (16): 3142–3144. Bibcode:2004ApPhL..84.3142P. doi: 10.1063/1.1712033 . ISSN   0003-6951.
  30. Degraeve, R.; Kaczer, B.; Schuler, F.; Lorenzini, M.; Wellekens, D.; Hendrickx, P.; Houdt, J. Van; Haspeslagh, L.; Tempel, G. (2001-12-01). "Statistical model for stress-induced leakage current and pre-breakdown current jumps in ultra-thin oxide layers". International Electron Devices Meeting. Technical Digest (Cat. No.01CH37224). pp. 6.2.1–6.2.4. doi:10.1109/IEDM.2001.979447. ISBN   978-0-7803-7050-0. S2CID   27991853.
  31. Porti, M.; Nafría, M.; Aymerich, X.; Olbrich, A.; Ebersberger, B. (2002-01-29). "Electrical characterization of stressed and broken down SiO2 films at a nanometer scale using a conductive atomic force microscope". Journal of Applied Physics. 91 (4): 2071–2079. Bibcode:2002JAP....91.2071P. doi:10.1063/1.1430542. ISSN   0021-8979.
  32. 1 2 Tan, Tingting; Liu, Zhengtang; Tian, Hao; Liu, Wenting (2010-07-25). "Low voltage stress-induced leakage current in HfO2 dielectric films". Materials Science and Engineering: B. 171 (1–3): 159–161. doi:10.1016/j.mseb.2010.03.091.
  33. Ang, D. S.; Ong, Y. C.; O'Shea, S. J.; Pey, K. L.; Tung, C. H.; Kawanago, T.; Kakushima, K.; Iwai, H. (2008-05-12). "Polarity dependent breakdown of the high-κ/SiOx gate stack: A phenomenological explanation by scanning tunneling microscopy". Applied Physics Letters. 92 (19): 192904. Bibcode:2008ApPhL..92s2904A. doi:10.1063/1.2926655. ISSN   0003-6951.
  34. Lu, X. B.; Zhang, X.; Huang, R.; Lu, H. B.; Chen, Z. H.; Zhou, H. W.; Wang, X. P.; Nguyen, B. Y.; Wang, C. Z. (2004-10-01). "Effect of post-annealing on the physical and electrical properties of LaAlO/Sub 3/ Gate dielectrics". Proceedings. 7th International Conference on Solid-State and Integrated Circuits Technology, 2004. Vol. 1. pp. 419–422 vol.1. doi:10.1109/ICSICT.2004.1435039. ISBN   978-0-7803-8511-5. S2CID   25239456.
  35. Lanza, M.; Porti, M.; Nafría, M.; Aymerich, X.; Benstetter, G.; Lodermeier, E.; Ranzinger, H.; Jaschke, G.; Teichert, S. (2011-03-01). "Conductivity and Charge Trapping After Electrical Stress in Amorphous and Polycrystalline Devices Studied With AFM-Related Techniques". IEEE Transactions on Nanotechnology. 10 (2): 344–351. Bibcode:2011ITNan..10..344L. doi:10.1109/TNANO.2010.2041935. ISSN   1536-125X. S2CID   28810246.
  36. Bayerl, A.; Lanza, M.; Porti, M.; Nafria, M.; Aymerich, X.; Campabadal, F.; Benstetter, G. (2011-09-01). "Nanoscale and Device Level Gate Conduction Variability of High-k Dielectrics-Based Metal-Oxide-Semiconductor Structures". IEEE Transactions on Device and Materials Reliability. 11 (3): 495–501. doi:10.1109/TDMR.2011.2161087. ISSN   1530-4388. S2CID   22874323.
  37. Bayerl, Albin; Lanza, Mario; Aguilera, Lidia; Porti, Marc; Nafría, Montserrat; Aymerich, Xavier; Gendt, Stefan de (June 2013). "Nanoscale and device level electrical behavior of annealed ALD Hf-based gate oxide stacks grown with different precursors". Microelectronics Reliability. 53 (6): 867–871. doi:10.1016/j.microrel.2013.02.005.
  38. Muenstermann, Ruth; Menke, Tobias; Dittmann, Regina; Mi, Shaobo; Jia, Chun-Lin; Park, Daesung; Mayer, Joachim (2010-12-15). "Correlation between growth kinetics and nanoscale resistive switching properties of SrTiO3 thin films". Journal of Applied Physics. 108 (12): 124504–124504–8. Bibcode:2010JAP...108l4504M. doi:10.1063/1.3520674. ISSN   0021-8979.
  39. Wu, Y. L.; Lin, S. T.; Chang, T. M.; Liou, J. J. (2007-06-01). "Nanoscale Bias-Annealing Effect in Postirradiated Thin Silicon Dioxide Films Observed by Conductive Atomic Force Microscopy". IEEE Transactions on Device and Materials Reliability. 7 (2): 351–355. doi:10.1109/TDMR.2007.901069. ISSN   1530-4388. S2CID   23406644.
  40. Wu, You-Lin; Lin, Shi-Tin; Chang, Tsung-Min; Liou, Juin J. (February 2007). "Reliability study of ultrathin oxide films subject to irradiation-then-stress treatment using conductive atomic force microscopy". Microelectronics Reliability. 47 (2–3): 419–421. doi:10.1016/j.microrel.2006.05.014.
  41. Porti, M.; Nafria, N.; Gerardin, S.; Aymerich, X.; Cester, A.; Paccagnella, A.; Ghidini, G. (2009-01-01). "Implanted and irradiated SiO2/Si structure electrical properties at the nanoscale". Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena. 27 (1): 421–425. Bibcode:2009JVSTB..27..421P. doi:10.1116/1.3043475. ISSN   1071-1023.
  42. Blasco, X.; Nafría, M.; Aymerich, X.; Vandervorst, W. (2005). "Comparison of SiO2 and HfO2/SiO2 gate stacks electrical behaviour at a nanometre scale with CAFM". Electronics Letters. 41 (12): 719. Bibcode:2005ElL....41..719B. doi:10.1049/el:20050805.
  43. Wu, You-Lin; Lin, Shi-Tin (February 2008). "Breakdown spots propagation in ultra-thin SiO2 films under repetitive ramped voltage stress using conductive atomic force microscopy". Journal of Physics and Chemistry of Solids. 69 (2–3): 470–474. Bibcode:2008JPCS...69..470W. doi:10.1016/j.jpcs.2007.07.077.
  44. Tung, C. H.; Pey, K. L.; Lin, W. H.; Radhakrishnan, M. K. (2002-09-01). "Polarity-dependent dielectric breakdown-induced epitaxy (DBIE) in Si MOSFETs". IEEE Electron Device Letters. 23 (9): 526–528. Bibcode:2002IEDL...23..526T. doi:10.1109/LED.2002.802662. ISSN   0741-3106.
  45. Polspoel, W.; Favia, P.; Mody, J.; Bender, H.; Vandervorst, W. (2009-07-15). "Physical degradation of gate dielectrics induced by local electrical stress using conductive atomic force microscopy". Journal of Applied Physics. 106 (2): 024101–024101–7. Bibcode:2009JAP...106b4101P. doi:10.1063/1.3153965. ISSN   0021-8979.
  46. Porti, M.; Nafría, M.; Blüm, M. C.; Aymerich, X.; Sadewasser, S. (2003-06-10). "Atomic force microscope topographical artifacts after the dielectric breakdown of ultrathin SiO2 films". Surface Science. 532–535: 727–731. Bibcode:2003SurSc.532..727P. doi:10.1016/S0039-6028(03)00150-X.
  47. Lanza, M.; Bersuker, G.; Porti, M.; Miranda, E.; Nafría, M.; Aymerich, X. (2012-11-05). "Resistive switching in hafnium dioxide layers: Local phenomenon at grain boundaries". Applied Physics Letters. 101 (19): 193502. Bibcode:2012ApPhL.101s3502L. doi:10.1063/1.4765342. ISSN   0003-6951.
  48. Lanza, M.; Zhang, K.; Porti, M.; Nafría, M.; Shen, Z. Y.; Liu, L. F.; Kang, J. F.; Gilmer, D.; Bersuker, G. (2012-03-19). "Grain boundaries as preferential sites for resistive switching in the HfO2 resistive random access memory structures". Applied Physics Letters. 100 (12): 123508. Bibcode:2012ApPhL.100l3508L. doi:10.1063/1.3697648. ISSN   0003-6951.
  49. Shi, Yuanyuan; Ji, Yanfeng; Hui, Fei; Nafria, Montserrat; Porti, Marc; Bersuker, Gennadi; Lanza, Mario (2015-04-01). "In Situ Demonstration of the Link Between Mechanical Strength and Resistive Switching in Resistive Random-Access Memories". Advanced Electronic Materials. 1 (4): n/a. doi:10.1002/aelm.201400058. ISSN   2199-160X. S2CID   110305072.
  50. Shi, Yuanyuan; Ji, Yanfeng; Hui, Fei; Iglesias, Vanessa; Porti, Marc; Nafria, Montserrat; Miranda, Enrique; Bersuker, Gennadi; Lanza, Mario (2014-08-08). "(Invited) Elucidating the Origin of Resistive Switching in Ultrathin Hafnium Oxides through High Spatial Resolution Tools". ECS Transactions. 64 (14): 19–28. Bibcode:2014ECSTr..64n..19S. doi:10.1149/06414.0019ecst. ISSN   1938-6737.
  51. Lanza, Mario (2014-03-13). "A Review on Resistive Switching in High-k Dielectrics: A Nanoscale Point of View Using Conductive Atomic Force Microscope". Materials. 7 (3): 2155–2182. Bibcode:2014Mate....7.2155L. doi: 10.3390/ma7032155 . PMC   5453275 . PMID   28788561.
  52. Sze, J. Y.; Tay, B. K.; Pakes, C. I.; Jamieson, D. N.; Prawer, S. (2005-09-15). "Conducting Ni nanoparticles in an ion-modified polymer". Journal of Applied Physics. 98 (6): 066101–066101–3. Bibcode:2005JAP....98f6101S. doi:10.1063/1.2014938. ISSN   0021-8979.
  53. Shi, Yuanyuan; Ji, Yanfeng; Sun, Hui; Hui, Fei; Hu, Jianchen; Wu, Yaxi; Fang, Jianlong; Lin, Hao; Wang, Jianxiang (2015-07-16). "Nanoscale characterization of PM2.5 airborne pollutants reveals high adhesiveness and aggregation capability of soot particles". Scientific Reports. 5: 11232. Bibcode:2015NatSR...511232S. doi:10.1038/srep11232. ISSN   2045-2322. PMC   4503936 . PMID   26177695.
  54. Cui, X. D.; Primak, A.; Zarate, X.; Tomfohr, J.; Sankey, O. F.; Moore, A. L.; Moore, T. A.; Gust, D.; Harris, G. (2001-10-19). "Reproducible Measurement of Single-Molecule Conductivity". Science. 294 (5542): 571–574. Bibcode:2001Sci...294..571C. doi:10.1126/science.1064354. ISSN   0036-8075. PMID   11641492. S2CID   26028013.
  55. Wang, Zhong Lin; Song, Jinhui (2006-04-14). "Piezoelectric Nanogenerators Based on Zinc Oxide Nanowire Arrays". Science. 312 (5771): 242–246. Bibcode:2006Sci...312..242W. doi:10.1126/science.1124005. ISSN   0036-8075. PMID   16614215. S2CID   4810693.
  56. Zhou, Chongwu; Kong, Jing; Dai, Hongjie (2000-03-14). "Electrical measurements of individual semiconducting single-walled carbon nanotubes of various diameters". Applied Physics Letters. 76 (12): 1597–1599. Bibcode:2000ApPhL..76.1597Z. doi:10.1063/1.126107. ISSN   0003-6951. S2CID   17973840.
  57. Iglesias, V.; Porti, M.; Nafría, M.; Aymerich, X.; Dudek, P.; Schroeder, T.; Bersuker, G. (2010-12-27). "Correlation between the nanoscale electrical and morphological properties of crystallized hafnium oxide-based metal oxide semiconductor structures". Applied Physics Letters. 97 (26): 262906. Bibcode:2010ApPhL..97z2906I. doi:10.1063/1.3533257. ISSN   0003-6951.
  58. Vecchio, Carmelo; Sonde, Sushant; Bongiorno, Corrado; Rambach, Martin; Yakimova, Rositza; Raineri, Vito; Giannazzo, Filippo (2011-03-29). "Nanoscale structural characterization of epitaxial graphene grown on off-axis 4H-SiC (0001)". Nanoscale Research Letters. 6 (1): 269. Bibcode:2011NRL.....6..269V. doi:10.1186/1556-276x-6-269. ISSN   1556-276X. PMC   3211332 . PMID   21711803.
  59. Giannazzo, Filippo; Sonde, Sushant; Rimini, Emanuele; Raineri, Vito (2011-01-31). "Lateral homogeneity of the electronic properties in pristine and ion-irradiated graphene probed by scanning capacitance spectroscopy". Nanoscale Research Letters. 6 (1): 109. Bibcode:2011NRL.....6..109G. doi:10.1186/1556-276x-6-109. ISSN   1556-276X. PMC   3211153 . PMID   21711643.
  60. Ji, Yanfeng; Pan, Chengbin; Zhang, Meiyun; Long, Shibing; Lian, Xiaojuan; Miao, Feng; Hui, Fei; Shi, Yuanyuan; Larcher, Luca; Wu, Ernest; Lanza, Mario (2016-01-04). "Boron nitride as two dimensional dielectric: Reliability and dielectric breakdown". Applied Physics Letters. 108 (1): 012905. Bibcode:2016ApPhL.108a2905J. doi:10.1063/1.4939131. ISSN   0003-6951.
  61. Lanza, Mario; Wang, Yan; Gao, Teng; Bayerl, Albin; Porti, Marc; Nafria, Montserrat; Zhou, Yangbo; Jing, Guangyin; Zhang, Yanfeng (2013-05-21). "Electrical and mechanical performance of graphene sheets exposed to oxidative environments". Nano Research. 6 (7): 485–495. doi:10.1007/s12274-013-0326-6. ISSN   1998-0124. S2CID   97263039.
  62. Tan, Susheng; Tang, Zhiyong; Liang, Xiaorong; Kotov, Nicholas A. (2004-09-01). "Resonance Tunneling Diode Structures on CdTe Nanowires Made by Conductive AFM". Nano Letters. 4 (9): 1637–1641. Bibcode:2004NanoL...4.1637T. doi:10.1021/nl0492077. ISSN   1530-6984.
  63. Jiang, Lanlan; Xiao, Na; Wang, Bingru; Grustan-Gutierrez, Enric; Jing, Xu; Babor, Petr; Kolíbal, Miroslav; Lu, Guangyuan; Wu, Tianru (2017-01-26). "High-resolution characterization of hexagonal boron nitride coatings exposed to aqueous and air oxidative environments". Nano Research. 10 (6): 2046–2055. doi:10.1007/s12274-016-1393-2. ISSN   1998-0124. S2CID   99473899.
  64. Shi, Yuanyuan; Ji, Yanfeng; Hui, Fei; Wu, Hai-Hua; Lanza, Mario (2014-09-04). "Ageing mechanisms and reliability of graphene-based electrodes". Nano Research. 7 (12): 1820–1831. doi:10.1007/s12274-014-0542-8. ISSN   1998-0124. S2CID   54516801.
  65. Howell, Sarah L.; Padalkar, Sonal; Yoon, KunHo; Li, Qiming; Koleske, Daniel D.; Wierer, Jonathan J.; Wang, George T.; Lauhon, Lincoln J. (2013-11-13). "Spatial Mapping of Efficiency of GaN/InGaN Nanowire Array Solar Cells Using Scanning Photocurrent Microscopy". Nano Letters. 13 (11): 5123–5128. Bibcode:2013NanoL..13.5123H. doi:10.1021/nl402331u. ISSN   1530-6984. PMID   24099617.
  66. Pan, Chengbin; Hu, Jianchen; Grustan-Gutierrez, Enric; Hoang, Minh Tuan; Duan, Huiling; Yvonnet, Julien; Mitrushchenkov, Alexander; Chambaud, Gilberte; Lanza, Mario (2016-04-21). "Suppression of nanowire clustering in hybrid energy harvesters". J. Mater. Chem. C. 4 (16): 3646–3653. doi:10.1039/c6tc00468g. ISSN   2050-7534.
  67. 1 2 "NanoWorld".
  68. "National Instriuments".
  69. Tiedje, T.; Brown, A. (1990-07-15). "Performance limits for the scanning tunneling microscope". Journal of Applied Physics. 68 (2): 649–654. Bibcode:1990JAP....68..649T. doi:10.1063/1.346794. ISSN   0021-8979.
  70. 1 2 "FEMTO" . Retrieved 4 February 2016.
  71. Lanza, M.; Bayerl, A.; Gao, T.; Porti, M.; Nafria, M.; Jing, G. Y.; Zhang, Y. F.; Liu, Z. F.; Duan, H. L. (2013-03-13). "Graphene-Coated Atomic Force Microscope Tips for Reliable Nanoscale Electrical Characterization". Advanced Materials. 25 (10): 1440–1444. Bibcode:2013AdM....25.1440L. doi:10.1002/adma.201204380. ISSN   1521-4095. PMID   23280635. S2CID   205248208.
  72. Hui, Fei; Vajha, Pujashree; Shi, Yuanyuan; Ji, Yanfeng; Duan, Huiling; Padovani, Andrea; Larcher, Luca; Li, Xiao Rong; Lanza, Mario (2016-04-21). "Moving graphene devices from lab to market: advanced graphene-coated nanoprobes". Nanoscale. 8 (16): 8466–8473. Bibcode:2016Nanos...8.8466H. doi:10.1039/c5nr06235g. ISSN   2040-3372. PMID   26593053.
  73. Aguilera, L.; Lanza, M.; Porti, M.; Grifoll, J.; Nafría, M.; Aymerich, X. (2008-07-01). "Improving the electrical performance of a conductive atomic force microscope with a logarithmic current-to-voltage converter". Review of Scientific Instruments. 79 (7): 073701–073701–5. Bibcode:2008RScI...79g3701A. doi:10.1063/1.2952058. ISSN   0034-6748. PMID   18681702.