List of Xilinx FPGAs

Last updated

This article contains general information about field-programmable gate array (FPGA) devices from AMD Xilinx, based on official specifications.

Contents

Terminology

The fields in the table listed below describe the following:

Model naming

The model name of most devices has some indication of its size, but the exact scheme used has varied over time:

Series overview

GenerationFamilyLaunchProcessInternal operating voltageNotes
XC2000 XC200019852000nm [6] 5VThe original FPGA family. This and a few following generations were originally called LCA (Logic Cell Array) devices, but later FPGA gradually became the preferred term.
XC2000L1993 [7] 3.3VLow voltage version of XC2000
XC3000 XC300019881200nm [6] 5VImproved logic cell, adds intra-FPGA tri-state bus support
XC3000A1993800nm [3] XC3000 with more functionality
XC3000L19933.3VLow voltage version of XC3000A
XC31001992800nm [8] 5VFaster version of XC3000
XC3100A1994 [9] 500nmFaster version of XC3000A
XC3100L1995 [10] 3.3VFaster version of XC3000L
XC4000 XC400019915VImproved logic cell, distributed RAM support, features carry chains and JTAG support
XC4000A19915VXC4000 with fewer routing resources, small chips
XC4000D1994 [11] 5VSame as XC4000, but with non-functional RAM
XC4000H19935VXC4000 with more, but less functional, IO cells (for higher pin count)
XC4000E1995 [12] 500nm [13] 5VXC4000 upgrade with more functionality
XC4000L1995 [10] 3.3VLow voltage version of XC4000E
XC4000EX1996 [14] 500nm5VXC4000E upgrade with more routing resources, for larger devices
XC4000XL1997350nm [15] 3.3VLow voltage version of XC4000EX
XC4000XLA1998 [16] 350nm, [16] 250nm [15] 3.3VXC4000XL upgrade with more functionality
XC4000XV1998250nm [15] 2.5VXC4000XLA variant with more routing resources (for large chips)
Spartan1998500nm, 350nm [17] 5VFunctionally identical to XC4000E, rebranded as low-end part
Spartan XL1998 [16] 350nm, [16] 250nm [17] 3.3VSpartan upgrade with more functionality
XC5200 XC52001994600nm5VA low end FPGA family with bare bones logic cells
XC5200L500nm3.3VLow voltage version of XC5200
XC6200 1995 [12] 650nm5VAn unusual FPGA based on simple logic cells (not LUTs), meant to be used alongside a CPU and optimized for on-the-fly reconfiguration. The only FPGA to have a fully documented configuration format by Xilinx.
XC8100 1995 [12] 5V or 3.3VA very unusual sea-of-gates FPGA, using one-time-programmable antifuse storage for the configuration (instead of RAM). Quickly discontinued in 1996. [18]
Virtex Virtex1998 [19] 220nm [13] 2.5VImproved LUT4-based logic cell, first Xilinx FPGA family to feature DLLs and block RAM
Spartan-II2000Identical to Virtex, marketed as low-end part
Virtex E1999180nm1.8VVirtex upgrade with more block RAM, more DLLs, and improved IO cells (with differential IO support)
Virtex EM2000Like Virtex E, but with more block RAM
Spartan-IIE2001 [20] Identical to Virtex E, but with some blocks disabled
Virtex-II Virtex-II2001150nm1.5VFirst Xilinx FPGA family to feature partial reconfiguration and hard multipliers, has DDR input/output support, DLLs have been replaced by much more functional DCMs
Virtex-II Pro2002130nm [21] 1.2VVirtex-II upgrade featuring first-generation multi-gigabit transceivers (3.125 Gbit/s, marketed as RocketIO™) and embedded PPC405 cores
Virtex-II Pro X2003 [22] Virtex-II Pro with multi-gigabit transceiver upgrade (RocketIO X, 6.25 Gbit/s)
Spartan-3 Spartan-3200390nm [17] 1.2VA low-end, simplified version of Virtex-II
Spartan-3E2004 [23] Spartan-3 upgrade with improved hard multipliers and DCMs, but fewer IO cells
Spartan-3A2006Spartan-3E upgrade with improved block RAM (featuring byte enables) and IO cells
Spartan-3AN2007Spartan-3A version with integrated SPI flash (as a separate die within the same package), requiring no external bitstream storage
Spartan-3A DSPSpartan-3A upgrade with new DSP cells (based on Virtex-5 but simplified) replacing the simplistic hard multipliers
Virtex-4 200490nm1.2VIntroduced DSP cells replacing the simple hard multipliers, added simple serdes functionality to all IO cells, improved partial reconfiguration support
Virtex-4 LXThe base "logic optimized" version
Virtex-4 SXDSP-optimized version of Virtex-4: identical functionality to LX, but with much higher DSP-to-logic ratio
Virtex-4 FXVirtex-4 with embedded hard PPC405 cores, Ethernet MAC blocks, and multi-gigabit transceivers (6.5 Gbit/s)
Virtex-5 200665nm1.0VIntroduced new LUT6-based logic cells, new block RAM cells (36kbit, splittable to 2×18kbit), new DSP cells; added new PLL blocks in addition to DCM blocks
Virtex-5 LXThe base "logic optimized" version
Virtex-5 LXTAdds multi-gigabit transceiver support on top of LX (RocketIO GTP transceivers, 3.75 Gbit/s); also adds hard PCI Express (Gen1 ×8) and gigabit Ethernet MAC blocks
Virtex-5 SXTDSP-optimized version of Virtex-5: identical functionality to LXT, but with much higher DSP-to-logic ratio
Virtex-5 FXTVirtex-5 with GTX transceivers (6.5 Gbit/s) and hard PPC440 cores
Virtex-5 TXT2009Transceiver-optimized version of Virtex-5: has large amount of GTX transceivers (no PPC cores)
Virtex-6 200940nm0.9V or 1.0VReplaces DCM blocks with MMCM blocks (which are an improved version of the existing PLL blocks), minor improvements to logic, DSP, block RAM, and IO cells
Virtex-6 LXThe base "logic optimized" version
Virtex-6 LXTAdds multi-gigabit transceiver support on top of LX (GTX transceivers, up to 6.6 Gbit/s); also adds hard PCI Express (Gen2 ×8) and gigabit Ethernet MAC blocks
Virtex-6 SXTDSP-optimized version of Virtex-6; identical functionality to LXT, but with much higher DSP-to-logic ratio
Virtex-6 HXTTransceiver-optimized version of Virtex-6: replaces GTX transceivers with GTH transceivers (11.2 Gb/s)
Virtex-6 CXTIdentical to LXT, but with some transceivers and hard PCI Express / Ethernet MAC blocks disabled
Spartan-6 Spartan-6 LX200945nm1.0V or 1.2VA low-end family built from an amalgamation of Spartan-3A and Virtex-6 features; has a LUT6-based logic cell, slightly improved Spartan-3A DSP cell, 18kbit block RAMs (splittable into 2×9kbit), improved DCM blocks, PLL blocks, IO blocks with serdes support; also has a new hard memory controller block
Spartan-6 LXTSpartan-6 version with multi-gigabit transceivers (GTP, 3.2 Gbit/s) and hard PCI Express (Gen 1 ×1) block
7 Series 201028nm0.9V, 0.95V, or 1.0VA successor to the Virtex-6 family, with several separately-marketed sub-families that are made from essentially identical cells with a few exceptions; the IO cells have been split into two variants: HR (high range, 3.3V capable cells) and HP (high performance, 1.8V capable cells with DCI functionality)
Spartan 72017Low-end logic-optimized parts, feature HRIO and no special blocks; several parts are identical to Artix parts with transceivers disabled
Artix-72010Low-end parts, feature HRIO, GTP transceivers (6.6 Gbit/s), PCI-Express hard block (Gen 2.1 ×4)
Kintex-72010Middle-end parts, feature HRIO and sometimes HPIO, GTX transceivers (12.5 Gbit/s), PCI-Express hard block (Gen 2.1 ×8)
Virtex-72010High-end parts, feature HPIO and sometimes HRIO, GTX or GTH transceivers (13.1 Gbit/s), PCI-Express hard block (Gen 2.1 ×8 or Gen 3 ×8)
Virtex-7 3D2011First FPGA made of multiple die in one package, using a special interposer die for very fast and wide inter-die interconnect, essentially presenting as a single unified device made of several "super logic regions" (SLRs)
Virtex-7 HT2012Virtex-7 3D version that also adds special ultra-high-speed GTZ transceivers (28.05 Gbit/s) via a separate die in the same package
Zynq-70002011An ARM Cortex-A9 based system on a chip integrated with an Artix-7 or a Kintex-7 FPGA on a single die
UltraScale 2013 [24] 20nm0.9V, 0.95V, or 1.0VA successor to 7 Series focused on scalability; features a new distributed clock distribution system as well as upgraded logic, DSP, and block RAM cells; hard blocks include the GTH transceivers (16.3 Gbit/s), GTY transceivers (30.5 Gbit/s), PCI Express (Gen3 ×8) blocks, 100G Ethernet MAC, 150G Interlaken blocks
Kintex UltraScale2013Middle-end parts
Virtex UltraScale2014High-end parts
UltraScale+ 201516nm0.72V, 0.85V, or 0.9VAn UltraScale upgrade with faster GTY transceivers (32.75 Gbit/s) and improved hard blocks (PCI Express Gen3 ×16 or Gen4 ×8); HR IO is gone and replaced with simpler HD (High Density) IO; some parts feature new UltraRAM (288kbit RAM) blocks
Artix UltraScale+2021Low-end parts
Kintex UltraScale+2015Middle-end parts
Virtex UltraScale+2016High-end parts
Virtex UltraScale+ 58GFeatures new GTM transceivers (58 Gbit/s PAM4)
Virtex UltraScale+ HBMFeatures High Bandwidth Memory within the same package and an integrated hard memory controller inside the FPGA die
Zynq UltraScale+ MPSoC2015An ARM Cortex-A53 based system on a chip integrated with a Kintex UltraScale+ FPGA on the same die
Zynq UltraScale+ RFSoC2017Like the MPSoC, but adds RF-DAC and RF-ADC blocks for high-speed radios (5G technology)
Alveo2018Alveo is a series of accelerator boards that are built on UltraScale+-series FPGAs that are identical to some Kintex/Virtex/Zynq devices, but are nominally considered to be distinct chip models
Versal 20197nm0.7V, 0.8V, or 0.88VAn ARM Cortex-A72 based system on a chip integrated with a new version of FPGA fabric (with new logic, DSP, and block RAM cells), hard DDR memory controllers, and a network-on-chip (NoC) connecting all of the parts together
Versal Prime2019The base Versal parts
Versal AI Core2019Features the AI engine cores
Versal PremiumFeatures high-bandwidth versions of the hard blocks
Versal AI EdgeLower-end version of AI Core
Versal HBMFeatures HBM memory

Note: The process information for early FPGA devices (before Virtex) may be inaccurate, due to the devices being subject to die shrink without changing the model name — the process listed above may not be the only process in which a given device has been manufactured.

Early FPGA devices

XC2000

The XC2000 devices have the following user-programmable blocks: [25]

ModelCLBsUser I/O (max)
XC2064, XC2064L64 (8×8)58
XC2018, XC2018L100 (10×10)74

Note: the available user I/O amount varies with chip packaging.

XC3000

The XC3000 devices have the following user-programmable blocks: [26]

ModelCLBsUser I/O (max)Tri-state busesTri-state buffers per bus
XC3020, XC3020A, XC3020L, XC3120, XC3120A64 (8×8)64169
XC3030, XC3030A, XC3030L, XC3130, XC3130A100 (10×10)802011
XC3042, XC3042A, XC3042L, XC3142, XC3142A, XC3142L144 (12×12)962413
XC3064, XC3064A, XC3064L, XC3164, XC3164A224 (16×14)1203215
XC3090, XC3090A, XC3090L, XC3190, XC3190A, XC3190L320 (16×20)1444017
XC3195, XC3195A484 (22×22)1764423

Note: the available user I/O amount varies with chip packaging.

XC4000, Spartan

The XC4000 and Spartan devices have the following user-programmable blocks: [27] [28] [29] [30]

XC4000 family feature comparison
FamilyDistributed RAMH-LUT inputsCLB flip-flop capabilitiesIOB capabilitiesClock buffers
XC4000, XC4000Aasynchronous1×F, 1×G, 1×general routingFlip-flopinput and output flip-flops4 primary + 4 secondary global buffers
XC4000Hno flip-flops
XC4000Dnoneinput and output flip-flops
XC4000E, XC4000L, Spartansynchronous or asynchronous write, asynchronous read3× any choice of F, G, general routinginput and output flip-flops with clock enable
XC4000EX, XC4000XL, XC4000XLA, XC4000XVFlip-flop or latchinput and output flip-flops with clock enable, fast capture latch, output multiplexer8 global buffers, 8 global low-skew buffers, 8 early clock buffers, 8 fast buffers
Spartan XL8 global low-skew buffers
ModelFamilyCLBsUser I/O (max)
XC4002AXC4000A64 (8×8)64
XC4002XLXC4000XL64 (8×8)64
XC4003XC4000100 (10×10)80
XC4003AXC4000A100 (10×10)80
XC4003HXC4000H100 (10×10)160
XC4003EXC4000E100 (10×10)80
XCS05Spartan100 (10×10)77
XCS05XLSpartan XL100 (10×10)77
XC4004AXC4000A144 (12×12)96
XC4005XC4000196 (14×14)112
XC4005AXC4000A196 (14×14)112
XC4005HXC4000H196 (14×14)192
XC4005EXC4000E196 (14×14)112
XC4005LXC4000L196 (14×14)112
XC4005XLXC4000XL196 (14×14)112
XCS10Spartan196 (14×14)112
XCS10XLSpartan XL196 (14×14)112
XC4006XC4000256 (16×16)128
XC4006EXC4000E256 (16×16)128
XC4008XC4000324 (18×18)144
XC4008EXC4000E324 (18×18)144
XC4010XC4000400 (20×20)160
XC4010DXC4000D400 (20×20)160
XC4010EXC4000E400 (20×20)160
XC4010LXC4000L400 (20×20)160
XC4010XLXC4000XL400 (20×20)160
XCS20Spartan400 (20×20)160
XCS20XLSpartan XL400 (20×20)160
XC4013XC4000576 (24×24)192
XC4013DXC4000D576 (24×24)192
XC4013EXC4000E576 (24×24)192
XC4013LXC4000L576 (24×24)192
XC4013XLXC4000XL576 (24×24)192
XC4013XLAXC4000XLA576 (24×24)192
XCS30Spartan576 (24×24)192
XCS30XLSpartan XL576 (24×24)192
XC4020EXC4000E784 (28×28)224
XC4020XLXC4000XL784 (28×28)224
XC4020XLAXC4000XLA784 (28×28)224
XCS40Spartan784 (28×28)205
XCS40XLSpartan XL784 (28×28)205
XC4025EXC4000E1024 (32×32)256
XC4028EXXC4000EX1024 (32×32)256
XC4028XLXC4000XL1024 (32×32)256
XC4028XLAXC4000XLA1024 (32×32)256
XC4036EXXC4000EX1296 (36×36)288
XC4036XLXC4000XL1296 (36×36)288
XC4036XLAXC4000XLA1296 (36×36)288
XC4044XLXC4000XL1600 (40×40)320
XC4044XLAXC4000XLA1600 (40×40)320
XC4052XLXC4000XL1936 (44×44)352
XC4052XLAXC4000XLA1936 (44×44)352
XC4062XLXC4000XL2304 (48×48)384
XC4062XLAXC4000XLA2304 (48×48)384
XC4085XLXC4000XL3136 (56×56)448
XC4085XLAXC4000XLA3136 (56×56)448
XC40110XVXC4000XV4096 (64×64)448
XC40150XVXC4000XV5184 (72×72)448
XC40200XVXC4000XV7056 (84×84)448
XC40250XVXC4000XV8464 (92×92)448

Note: the available user I/O amount varies with chip packaging.

XC5200

The XC5200 devices have the following user-programmable blocks: [31]

ModelCLBsUser I/O (max)
XC5202, XC5202L64 (10×10)84
XC5204120 (10×12)124
XC5206, XC5206L196 (14×14)148
XC5210324 (18×18)196
XC5216, XC5216L484 (22×22)244

Note: the available user I/O amount varies with chip packaging.

XC6200

The XC6200 family is unusual in several ways: [32]

ModelLogic cellsIOBsConfiguration RAM (bits)Notes
XC62092304 (48×48)19236Klisted as planned product, unclear if it ever reached production
XC62164096 (64×64)25665K
XC62369216 (96×96)384147Klisted as planned product, unclear if it ever reached production
XC626416384 (128×128)512262Klisted as planned product, unclear if it ever reached production

XC8100

The XC8100 family is unusual in several ways: [32]

ModelLogic cellsUser I/O (max)Notes
XC8100192 (24×8)32
XC8101384 (24×16)72
XC81031024 (32×32)128
XC81061728 (48×36)168
XC81092688 (56×48)192
XC81123744248planned product that never reached production
XC81164800280planned product that never reached production
XC81206144320planned product that never reached production

Virtex, Spartan-II

The Virtex and Spartan-II devices are made of the following user-programmable blocks:

The Virtex and Spartan-II devices are functionally identical to each other and differ only in available size range, performance, and packaging options. The Spartan-IIE devices use the same die as the corresponding Virtex E devices, but have some block RAM and DLLs disabled.

ModelFamilyCLBs4-LUTs

(CLBs×4)

Block RAMs (4kbit each)User I/O (max)User I/O differential pairs (max)DLLs
XC2S15Spartan-II [33] 96 (12×8)384486-4
XC2S30Spartan-II216 (18×12)864692-4
XCV50Virtex [34] 384 (24×16)15368180-4
XC2S50Spartan-II384 (24×16)15368176-4
XCV50EVirtex E [35] 384 (24×16)153616176838
XC2S50ESpartan-IIE [36] 384 (24×16)15368182834
XCV100Virtex600 (30×20)240010180-4
XC2S100Spartan-II600 (30×20)240010176-4
XCV100EVirtex E600 (30×20)240020196838
XC2S100ESpartan-IIE600 (30×20)240010202864
XCV150Virtex864 (36×24)345612260-4
XC2S150Spartan-II864 (36×24)345612260-4
XC2S150ESpartan-IIE864 (36×24)3456122651144
XCV200Virtex1176 (42×28)470414284-4
XC2S200Spartan-II1176 (42×28)470414284-4
XCV200EVirtex E1176 (42×28)4704282841198
XC2S200ESpartan-IIE1176 (42×28)4704142891204
XCV300Virtex1536 (48×32)614416316-4
XCV300EVirtex E1536 (48×32)6144323161378
XC2S300ESpartan-IIE1536 (48×32)6144163291204
XCV400Virtex2400 (60×40)960020404-4
XCV400EVirtex E2400 (60×40)9600404041838
XC2S400ESpartan-IIE2400 (60×40)9600404101724
XCV405EVirtex EM [37] 2400 (60×40)96001404041838
XCV600Virtex3456 (72×48)1382424512-4
XCV600EVirtex E3456 (72×48)13824725122478
XC2S600ESpartan-IIE3456 (72×48)13824725142054
XCV800Virtex4704 (84×56)1881628512-4
XCV812EVirtex EM4704 (84×56)188162805562018
XCV1000Virtex6144 (96×64)2457632512-4
XCV1000EVirtex E6144 (96×64)24576966602818
XCV1600EVirtex E7776 (108×72)311041447243448
XCV2000EVirtex E9600 (120×80)384001608043448
XCV2600EVirtex E12696 (138×92)507841848043448
XCV3000EVirtex E16224 (156×104)648962088043448

Note: the available user I/O amount varies with chip packaging. Additionally, not all I/Os can be used as part of a differential pair, so the available differential pair count can be smaller than half of the available I/O count.

Virtex-II

The Virtex-II devices are made of the following user-programmable blocks:

Virtex-II Pro devices include some additional blocks:

ModelFamilyCLBs4-LUTs (CLBs×8)Multiplier blocks and block RAMs (18kbit each)DCMsUser I/O (max)Multi-gigabit transceivers (max)PPC cores
XC2V40Virtex-II [38] 64 (8×8)5124488--
XC2V80Virtex-II128 (8×16)102484120--
XC2V250Virtex-II384 (16×24)3072248200--
XC2V500Virtex-II768 (24×32)6144328264--
XC2V1000Virtex-II1280 (32×40)10240408432--
XC2V1500Virtex-II1920 (40×48)15360488528--
XC2V2000Virtex-II2688 (48×56)21504568624--
XC2V3000Virtex-II3584 (56×64)286729612720--
XC2V4000Virtex-II5760 (72×80)4608012012912--
XC2V6000Virtex-II8448 (88×96)67584144121104--
XC2V8000Virtex-II11648 (104×112)93184168121108--
XC2VP2Virtex-II Pro [39] 3522816124204RocketIO ×4-
XC2VP4Virtex-II Pro7526016284348RocketIO ×41
XC2VP7Virtex-II Pro12329856444396RocketIO ×81
XC2VP20Virtex-II Pro232018560888564RocketIO ×82
XC2VPX20Virtex-II Pro X244819584888552RocketIO X ×81
XC2VP30Virtex-II Pro3424273921368644RocketIO ×82
XC2VP40Virtex-II Pro4848387841928804RocketIO ×122
XC2VP50Virtex-II Pro5904472322328852RocketIO ×162
XC2VP70Virtex-II Pro8272661763288996RocketIO ×202
XC2VPX70Virtex-II Pro X8272661763088992RocketIO X ×202
XC2VP100Virtex-II Pro1102488192444121164RocketIO ×202

Note: the available user I/O and multi-gigabit transceiver amount varies with chip packaging.

Note: the CLB count for Virtex-II Pro devices is no longer a simple columns×rows multiplication, as the CLB grid contains holes for the PowerPC cores.

Spartan-3

The Spartan-3 devices are made of:

ModelFamilyCLBs4-LUTs (CLBs×8)Block RAMs (18kbit each)Multiplier blocksDCMsUser I/O (max)Differential I/O pairs (max)
XC3S50Spartan-3 [40] 192 (12×16)153644212456
XC3S200Spartan-3480 (20×24)38401212417376
XC3S400Spartan-3896 (28×32)716816164264116
XC3S1000, XC3S1000LSpartan-31920 (40×48)1536024244391175
XC3S1500, XC3S1500LSpartan-33328 (52×64)2662432324487221
XC3S2000Spartan-35120 (64×80)4096040404565270
XC3S4000Spartan-36912 (72×96)5529696964633300
XC3S5000Spartan-38320 (80×104)665601041044633300
XC3S100ESpartan-3E [41] 240192044210840
XC3S250ESpartan-3E61248961212417268
XC3S500ESpartan-3E116493122020423292
XC3S1200ESpartan-3E21681734428288304124
XC3S1600ESpartan-3E36882950436368376156
XC3S50A, XC3S50ANSpartan-3A/3AN [42] 176140833214464
XC3S200A, XC3S200ANSpartan-3A/3AN448358416164248112
XC3S400A, XC3S400ANSpartan-3A/3AN896716820204311142
XC3S700A, XC3S700ANSpartan-3A/3AN14721177620208372165
XC3S1400A, XC3S1400ANSpartan-3A/3AN28162252832328502227
XC3SD1800ASpartan-3A DSP [43] 41603328084DSP48A ×848519227
XC3SD3400ASpartan-3A DSP596847744126DSP48A ×1268469213

Note: the available user I/O amount varies with chip packaging. Additionally, not all I/Os can be used as part of a differential pair, so the available differential pair count can be smaller than half of the available I/O count.

Note: for families other than Spartan-3, the CLB grid is irregular and includes holes for block RAMs and DCMs, so the CLB count is not a simple multiplication of columns×rows

Virtex-4

The Virtex-4 devices are made of: [44] [45]

The Virtex-4 FX devices additionally contain:

ModelSub-familyCLBs4-LUTs (CLBs×8)Block RAMs (18kbit each)DSP48 blocksDCMsPMCDsClock RegionsI/O banksUser I/Os (max)Gigabit transceivers (max)PPC cores
XC4VLX15LX1536 (24×64)1228848324-89320--
XC4VLX25LX2688 (28×96)215047248841211448--
XC4VLX40LX4608 (36×128)368649664841613640--
XC4VLX60LX6656 (52×128)5324816064841613640--
XC4VLX80LX8960 (56×160)71680200801282015768--
XC4VLX100LX12288 (64×192)98304240961282417960--
XC4VLX160LX16896 (88×192)135168288961282417960--
XC4VLX200LX22272 (116×192)178176336961282417960--
XC4VSX25SX2560 (40×64)204801281284-89420--
XC4VSX35SX3840 (40×96)30720192192841211448--
XC4VSX55SX6144 (48×128)49152320512841613640--
XC4VFX12FX13681094436324-89320-1
XC4VFX20FX21361708868324-8932081
XC4VFX40FX46563724814448841211448122
XC4VFX60FX6320505602321281281613576162
XC4VFX100FX10544843523761601282015768202
XC4VFX140FX157921263365521922082417896242

Note: the I/O banks count includes special bank 0, which contains only dedicated configuration I/O (no user I/O)

Note: the available user I/O, I/O bank, and multi-gigabit transceiver amount varies with chip packaging.

Note: the CLB count for FX devices is no longer a simple columns×rows multiplication, as the CLB grid contains holes for the PowerPC cores.

Virtex-5

The Virtex-5 devices are made of: [47] [48]

ModelSub-familyCLBs6-LUTs (=CLBs×8)SLICEMsBlock RAMs (36kbit each)DSP48E blocksDCMsPLLsClock regionsI/O banks (max)User I/Os (max)Gigabit transceivers (max)PPC coresEthernet MACsPCI Express cores
XC5VLX20TLXT1560 (26×60)12480840262421671724 GTP-21
XC5VLX30LX2400 (30×80)192001280323242813400----
XC5VLX30TLXT2400 (30×80)1920012803632428123608 GTP-41
XC5VLX50LX3600 (30×120)28800192048481261217560----
XC5VLX50TLXT3600 (30×120)2880019206048126121548012 GTP-41
XC5VLX85LX6480 (54×120)51840336096481261217560----
XC5VLX85TLXT6480 (54×120)51840336010848126121548012 GTP-41
XC5VLX110LX8640 (64×160)691204480128641261623800----
XC5VLX110TLXT8640 (64×160)69120448014864126162068016 GTP-41
XC5VLX155LX12160 (76×160)9728065601921281261623800----
XC5VLX155TLXT12160 (76×160)972806560212128126162068016 GTP-41
XC5VLX220LX17280 (108×160)13824091201921281261623800----
XC5VLX220TLXT17280 (108×160)1382409120212128126162068016 GTP-41
XC5VLX330LX25920 (108×240)2073601368028819212624331200----
XC5VLX330TLXT25920 (108×240)20736013680324192126242796020 GTP-41
XC5VSX35TSXT2720 (34×80)21760208084192428123608 GTP-41
XC5VSX50TSXT4080 (34×120)326403120132288126121548012 GTP-41
XC5VSX95TSXT7360 (46×160)588806080244640126161964016 GTP-41
XC5VSX240TSXT18720 (78×240)149760168005161056126242796024 GTP-41
XC5VTX150TTXT11600 (58×200)92800600022880126202068040 GTX-41
XC5VTX240TTXT18720 (78×240)149760960032496126242068048 GTX-41
XC5VFX30TFXT25602048015206864428123608 GTX141
XC5VFX70TFXT5600448003280148128126161964016 GTX143
XC5VFX100TFXT8000640004960228256126162068016 GTX243
XC5VFX130TFXT10240819206320298320126202484020 GTX263
XC5VFX200TFXT153601228809120456384126242796024 GTX284

Note: the I/O banks count includes special bank 0, which contains only dedicated configuration I/O (no user I/O)

Note: the available user I/O, I/O bank, and multi-gigabit transceiver amount varies with chip packaging.

Note: the CLB count for FXT devices is no longer a simple columns×rows multiplication, as the CLB grid contains holes for the PowerPC cores.

Virtex-6

The Virtex-6 devices are made of: [52]

ModelSub-familyCLBs6-LUTs (=CLBs×8)SLICEMs36 Kibit block RAMsDSP48E1 blocksMMCMsClock RegionsI/O banks (max)User I/Os (max)Gigabit transceivers (max)Ethernet MACsPCI Express Cores
XC6VLX75TLXT582046560418015628866936012 GTX41
XC6VCX75TCXT [58] 582046560418015628866936012 GTX11
XC6VLX130TLXT1000080000696026448010101560020 GTX42
XC6VCX130TCXT1000080000696026448010101560016 GTX12
XC6VLX195TLXT156001248001216034464010101560020 GTX42
XC6VCX195TCXT156001248001216034464010101560016 GTX12
XC6VLX240TLXT188401507201460041676812121872024 GTX42
XC6VCX240TCXT188401507201460041676812121860016 GTX12
XC6VLX365TLXT284402275201652041657612121872024 GTX42
XC6VLX550TLXT4296034368024800632864181830120036 GTX42
XC6VLX760LX59280474240331207208641818301200---
XC6VSX315TSXT2460019680020360704134412121872024 GTX42
XC6VSX475TSXT37200297600305601064201618182184036 GTX42
XC6VHX250THXT19680157440121605045761212832048 GTX44
XC6VHX255THXT198001584001220051657612121248024 GTX + 24 GTH22
XC6VHX380THXT298802390401828076886418181872048 GTX + 24 GTH44
XC6VHX565THXT442803542402548091286418181872024 GTX + 24 GTH44

Note: the I/O banks count does not include special bank 0, which contains only dedicated configuration I/O (no user I/O)

Note: the available user I/O, I/O bank, and multi-gigabit transceiver amount varies with chip packaging.

Note: Virtex-6 CLB grid is irregular and contains holes (for configuration center and PCI Express blocks), and so the CLB count is no longer a simple columns×rows multiplication

Note: The CXT devices use an identical die to the corresponding LXT devices, but with some disabled blocks and reduced performance (GTX transceivers have a speed range of 150 Mb/s to 3.75 Gb/s).

Spartan-6

The Spartan-6 devices are basically Spartan-3A DSP devices upgraded with some Virtex-6 technology. They are made of: [59]

ModelSub-familyCLBs6-LUTs (=CLBs×8)SLICEMsBlock RAMs (18kbit each)DSP48A1 blocksDCMsPLLsClock RegionsI/O banksUser I/Os (max)MCBsGigabit transceivers (max)PCI Express CoresNotes
XC6SLX4LX30024003001284244132---uses the same die as XC6SLX9, with lots of disabled blocks
XC6SLX9LX7155720360321642442002--
XC6SLX16LX11399112544323242442322--
XC6SLX25LX187915032916523842542662--uses the same die as XC6SLX25T, with disabled transceivers
XC6SLX25TLXT18791503291652384254250221
XC6SLX45LX34112728816021165884843582--uses the same die as XC6SLX45T, with disabled transceivers
XC6SLX45TLXT3411272881602116588484296241
XC6SLX75LX58314664827681721321261264084--uses the same die as XC6SLX75T, with disabled transceivers
XC6SLX75TLXT5831466482768172132126126348481
XC6SLX100LX79116328839042681801261264804--uses the same die as XC6SLX100T, with disabled transceivers
XC6SLX100TLXT7911632883904268180126126498481
XC6SLX150LX115199215254202681801261265764--uses the same die as XC6SLX150T, with disabled transceivers
XC6SLX150TLXT11519921525420268180126126540481

7 Series

The 7 series devices are made of: [67]

Depending on exact device family, devices may also contain some special blocks:

ModelFamilyCLBs6-LUTs (=CLBs×8)SLICEMsBlock RAMs (36kbit each)DSP48E1 blocksCMTsClock RegionsI/O banks (max)User I/Os (max)Gigabit transceivers (max)PCI Express CoresXADCsProcessing SystemNotes
XC7S6Spartan-7469*3752*280*5*10*22 (2x1)2 HR100 HR----software-limitted version of XC7S15
XC7S15Spartan-710008000600102022 (2x1)2 HR100 HR----
XC7S25Spartan-71825146001250458034 (2x2)3 HR150 HR--1-XC7A25T with disabled transceivers
XC7S50Spartan-740753260024007512056 (2x3)5 HR250 HR--1-XC7A50T with disabled transceivers
XC7S75Spartan-76000*48000*3328*90*140*88 (2x4)8 HR400 HR--1-software-limitted version of XC7S100
XC7S100Spartan-7800064000440012016088 (2x4)8 HR400 HR--1-
XC7A12TArtix-71000*8000*684*20*40*34 (2x2)3 HR150 HR2 GTP1 Gen2×41-software-limitted version of XC7A25T
XC7A15TArtix-71300*10400*800*25*45*56 (2x3)5 HR250 HR4 GTP1 Gen2×41-software-limitted version of XC7A50T
XC7A25TArtix-71825146001250458034 (2x2)3 HR150 HR4 GTP1 Gen2×41-
XC7A35TArtix-72600*20800*1600*50*90*56 (2x3)5 HR250 HR4 GTP1 Gen2×41-software-limitted version of XC7A50T
XC7A50TArtix-740753260024007512056 (2x3)5 HR250 HR4 GTP1 Gen2×41-
XC7A75TArtix-75900*47200*3568*105*180*68 (2x4)6 HR300 HR8 GTP1 Gen2×41-software-limitted version of XC7A100T
XC7A100TArtix-7792563400475013524068 (2x4)6 HR300 HR8 GTP1 Gen2×41-
XC7A200TArtix-716825134600115503657401010 (2x5)10 HR500 HR16 GTP1 Gen2×41-
XC7K70TKintex-7512541000335013524068 (2x4)4 HR + 2 HP200 HR + 100 HP8 GTX1 Gen2×81-
XC7K160TKintex-7126751014008750325600810 (2x5)5 HR + 3 HP250 HR + 150 HP8 GTX1 Gen2×81-
XC7K325TKintex-725475203800160004458401014 (2x7)7 HR + 3 HP350 HR + 150 HP16 GTX1 Gen2×81-
XC7K355TKintex-727825222600203507151440612 (2x6)6 HR300 HR24 GTX1 Gen2×81-
XC7K410TKintex-7317752542002265079515401014 (2x7)7 HR + 3 HP350 HR + 150 HP16 GTX1 Gen2×81-
XC7K420TKintex-732575*260600*23752*835*1680*816 (2x8)8 HR400 HR32 GTX1 Gen2×81-software-limitted version of XC7K480T
XC7K480TKintex-737325298600271509551920816 (2x8)8 HR400 HR32 GTX1 Gen2×81-
XC7V585TVirtex-7455253642002775079512601818 (2x9)3 HR + 15 HP100 HR + 750 HP36 GTX3 Gen2×81-
XC7V2000TVirtex-7152700122160086200129221602424 (2x12)24 HP1200 HP36 GTX4 Gen2×81-3D device, made of 4 identical FPGA die
XC7VX330TVirtex-7255002040001755075011201414 (2x7)1 HR + 13 HP50 HR + 650 HP28 GTH2 Gen3×81-
XC7VX415TVirtex-7322002576002610088021601212 (2x6)12 HP600 HP48 GTH2 Gen3×81-
XC7VX485TVirtex-73795030360032700103028001414 (2x7)14 HP700 HP56 GTX4 Gen2×81-
XC7VX550TVirtex-743300*346400*34900*1180*2880*2020 (2x10)20 HP600 HP80 GTH2 Gen3×81-software-limitted version of XC7VX690T
XC7VX690TVirtex-75415043320043550147036002020 (2x10)20 HP1000 HP80 GTH3 Gen3×81-
XC7VX980TVirtex-77650061200055350150036001818 (2x9)18 HP900 HP72 GTH3 Gen3×81-
XC7VX1140TVirtex-710940087520070800188033602424 (2x12)24 HP1100 HP96 GTH4 Gen3×81-3D device, made of 4 identical FPGA die
XC7VH580TVirtex-7547004376003540094016801212 (2x6)12 HP600 HP48 GTH + 8 GTZ2 Gen3×81-heterogenous 3D device, made of 2 FPGA die (identical to the XC7VX1140T FPGA die) and 1 GTZ die
XC7VH870TVirtex-78205065640053100141025201818 (2x9)18 HP300 HP72 GTH + 16 GTZ3 Gen3×81-heterogenous 3D device, made of 3 FPGA die (identical to the XC7VX1140T FPGA die) and 2 GTZ die
XC7Z007SZynq-7000 (Artix-7 FPGA fabric) [73] 1800*14400*50*66*24 (2x2)2 HR100 HR--1single coresoftware-limitted XC7Z010 with one ARM core disabled
XC7Z012SZynq-7000 (Artix-7 FPGA fabric)4300*34400*72*120*36 (2x3)3 HR150 HR4 GTP1 Gen2×41single coresoftware-limitted XC7Z015 with one ARM core disabled
XC7Z014SZynq-7000 (Artix-7 FPGA fabric)5075*40600*107*170*46 (2x3)4 HR200 HR--1single coresoftware-limitted XC7Z020 with one ARM core disabled
XC7Z010Zynq-7000 (Artix-7 FPGA fabric)2200176001500608024 (2x2)2 HR100 HR--1dual core
XC7Z015Zynq-7000 (Artix-7 FPGA fabric)57754620036009516036 (2x3)3 HR150 HR4 GTP1 Gen2×41dual core
XC7Z020Zynq-7000 (Artix-7 FPGA fabric)665053200435014022046 (2x3)4 HR200 HR--1dual core
XC7Z030Zynq-7000 (Kintex-7 FPGA fabric)982578600665026540058 (2x4)2 HR + 3 HP100 HR + 150 HP4 GTX1 Gen2×41dual core
XC7Z035Zynq-7000 (Kintex-7 FPGA fabric)21487.5*171900*500*900814 (2x7)5 HR + 3 HP212 HR + 150 HP8 GTX1 Gen2×81dual coresoftware-limitted version of XC7Z045
XC7Z045Zynq-7000 (Kintex-7 FPGA fabric)2732521860017600545900814 (2x7)5 HR + 3 HP212 HR + 150 HP8 GTX1 Gen2×81dual core
XC7Z100Zynq-7000 (Kintex-7 FPGA fabric)34675277400270507552020814 (2x7)5 HR + 3 HP250 HR + 150 HP16 GTX1 Gen2×81dual core

Note: many 7 series devices are actually software-limitted versions of larger devices: [74] for example, XC7A35T is the exact same die as XC7A50T, with the same geometry and block count, but the Xilinx development tools artificially limit device usage to the limits in the table above. Such software-limitted devices have very different behavior from "full" devices when nearing full utilization: a design that would have utilized 90% of XC7A50T resources will most likely fail to route (or succeed with very suboptimal performance), since the place&route tool will have very little space to optimally arrange blocks and will likely run out of routing resources due to suboptimal placement. However, an XC7A35T design that utilizes even 100% of its resources will almost certainly route with no performance degradation, as it is far from the real hardware limits, and the placer has full freedom to utilize any subset of the available blocks as long as the total used CLB/DSP/block RAM count is within the allowed software limit. The software-enforced limits are marked with * in the above table.

Note: some Spartan-7 devices are identical to some Artix-7 devices, but with disabled transceivers. However, this is different from the above software-enforced usage limit: the transceivers cannot be used anyway, as their power and I/O pads are not bonded out to device pins in the packaging.

Note: the Artix-7 devices use the same PCI Express block as Kintex-7 devices, with Gen2×8 support, but they can only be used in at most Gen2×4 configuration due to GTP transceiver limitations.

Note: several devices have smaller max User I/Os count than the I/O bank count would imply. This means that the device is not available in any packaging that actually bonds out the complete set of pads.

UltraScale and UltraScale+

The UltraScale devices are made of: [75]

The UltraScale+ devices have a few differences:

Zynq UltraScale+ devices are ARM Cortex-A53 based systems on chip sharing a die with an FPGA. The SoC part of the device is called a Processing System (PS). Each model of Zynq UltraScale+ MPSoC is available in up to 3 sub-models: CG, EG, and EV. The main differences among these sub-models are in the CPU and GPU configurations. [77] Zynq UltraScale+ RFSoC devices are available in DR sub-models, which have PS capabilities identical to MPSoC EG sub-models.

CGEG and DREV
APU 2x Arm A53 4x Arm A534x Arm A53
RPU 2x Arm R5 2x Arm R52x Arm R5
GPU- Arm Mali-400MP2 Arm Mali-400MP2
VCU-- H.264/H.265

Zynq UltraScale+ devices have some additional blocks:

ModelFamilyCLBs6-LUTs (=CLBs×8)SLICEMsBlock RAMs (36kbit each)Ultra RAMs (288kbit each)DSP48E2 blocksCMTsClock RegionsI/O banks (max)User I/Os (max)Gigabit transceivers (max)PCI Express Cores100 Gigabit Ethernet MACsIntelaken CoresOthersNotes
XCKU025Kintex UltraScale181801454408460360-1152612 (4×3)2 HR + 4 HP104 HR + 208 HP12 GTH1---cut (partial) version of XCKU040
XCKU035Kintex UltraScale25391*203128*540*-1700*1020 (4×5)2 HR + 8 HP104 HR + 416 HP16 GTH2*---software-limitted version of XCKU040
XCKU040Kintex UltraScale3030024240014100600-19201020 (4×5)2 HR + 8 HP104 HR + 416 HP20 GTH3---
XCKU060Kintex UltraScale41460331680183601080-27601230 (6×5)2 HR + 10 HP104 HR + 520 HP32 GTH3---
XCKU085Kintex UltraScale62190*497520*1620*-4100*2254 (6×9)4 HR + 18 HP104 HR + 572 HP56 GTH4*---software-limitted version of XCKU115 with one partial die
XCKU095Kintex UltraScale6720053760096001680*-7681640 (5×8)1 HR + 15 HP52 HR + 650 HP32 GTH + 32 GTY42*2*-software-limitted version of XCVU095
XCKU115Kintex UltraScale82920663360367202160-55202460 (6×10)4 HR + 20 HP156 HR + 676 HP64 GTH6---a multi-die FPGA made of two XCKU060
XCVU065Virtex UltraScale4476035808096601260-6001030 (6×5)1 HR + 9 HP52 HR + 468 HP20 GTH + 20 GTY233-
XCVU080Virtex UltraScale55714*445712*1421*-672*1640 (5×8)1 HR + 15 HP52 HR + 780 HP32 GTH + 32 GTY446-software-limitted version of XCVU095
XCVU095Virtex UltraScale6720053760096001728-7681640 (5×8)1 HR + 15 HP52 HR + 780 HP32 GTH + 32 GTY446-
XCVU125Virtex UltraScale89520716160193202520-12002060 (6×10)2 HR + 18 HP104 HR + 780 HP40 GTH + 40 GTY466-a multi-die FPGA made of two XCVU065
XCVU160Virtex UltraScale115800*926400*3276*-1560*2884 (6×14)2 HR + 26 HP52 HR + 650 HP52 GTH + 52 GTY4*98-software-limitted version of XCVU190 with one partial die
XCVU190Virtex UltraScale1342801074240289803780-18003090 (6×15)3 HR + 27 HP52 HR + 650 HP60 GTH + 60 GTY699-a multi-die FPGA made of three XCVU065
XCVU440Virtex UltraScale3166202532960574202520-288030135 (9×15)3 HR + 27 HP52 HR + 1404 HP48 GTH63--a multi-die FPGA made of three dedicated die
XCAU7PArtix UltraScale+46803744010821522 HP + 6 HD104 HP + 144 HD4 GTH1 PCIE4C---not yet in production
XCAU10PArtix UltraScale+5500*44000*100*-40036 (2x3)3 HP + 3 HD156 HP + 72 HD12 GTH1 PCIE4C---software-limitted version of XCAU15P
XCAU15PArtix UltraScale+9720777605040144-57636 (2x3)3 HP + 3 HD156 HP + 72 HD12 GTH1 PCIE4C---
XCAU20PArtix UltraScale+13625*109000*200*-900*3*16 (4x4)3 HP + 3 HD156 HP + 72 HD12 GTY1 PCIE4---software-limitted version of XCKU5P
XCAU25PArtix UltraScale+17625*141000*300*-1200*416 (4x4)4 HP + 4 HD208 HP + 96 HD12 GTY1 PCIE4---software-limitted version of XCKU5P
XCKU3PKintex UltraScale+20340*162720*360*48*1368*416 (4×4)4 HP + 4 HD208 HP + 96 HD16 GTY1 PCIE4---software-limitted version of XCKU5P
XCKU5PKintex UltraScale+2712021696012480480641824416 (4×4)4 HP + 4 HD208 HP + 96 HD16 GTY1 PCIE41--
XCKU9PKintex UltraScale+3426027408018000912-2520425 (4×7-3)4 HP + 5 HD208 HP + 96 HD28 GTH----same die as XCZU9*, with disabled PS
XCKU11PKintex UltraScale+3732029856018540600802928829 (4×8-3)8 HP + 4 HD416 HP + 96 HD32 GTH + 20 GTY4 PCIE421-same die as XCZU11*, with disabled PS
XCKU13PKintex UltraScale+42660341280230407441123528425 (4×7-3)4 HP + 5 HD208 HP + 96 HD28 GTH----same die as XCZU15*, with disabled PS
XCKU15PKintex UltraScale+653405227202016098412819681141 (4×11-3)11 HP + 4 HD572 HP + 96 HD44 GTH + 32 GTY5 PCIE444-same die as XCZU19*, with disabled PS
XCKU19PKintex UltraScale+10530084240017282881080945 (5×9)9 HP + 3 HD468 HP + 72 HD32 GTY3 PCIE4C1--partial version of XCVU23P
XCVU3PVirtex UltraScale+492603940802466072032022801030 (6×5)10 HP520 HP40 GTY2 PCIE433-
XCVU5PVirtex UltraScale+75072.125*600577*1024*470*3474*2060 (6×10)20 HP832 HP80 GTY4 PCIE44*4*-software limited version of XCVU7P
XCVU7PVirtex UltraScale+9852078816049320144064045602060 (6×10)20 HP832 HP80 GTY4 PCIE466-a multi-die FPGA made of two XCVU3P FPGAs
XCVU9P, XCU200Virtex UltraScale+147780118224075120216096068403090 (6×15)30 HP832 HP120 GTY6 PCIE499-a multi-die FPGA made of three XCVU3P FPGAs;

XCU200 is the designation of the FPGA used on the Alveo U200 board, which is rebadged XCVU9P

XCVU11PVirtex UltraScale+162000129600074160201696092161296 (8×12)12 HP624 HP96 GTY3 PCIE496-a multi-die FPGA made of three die
XCVU13P, XCU250Virtex UltraScale+216000172800098880268812801228816128 (8×16)16 HP832 HP128 GTY4 PCIE4128-a multi-die FPGA made of four die (same base die as XCVU11P); XCU250 is the designation of the FPGA used on the Alveo U250 board, which is rebadged XCVU13P
XCVU19PVirtex UltraScale+51072040857601195202160320384040180 (9×20)40 HP + 4 HD1976 HP + 96 HD80 GTY8 PCIE4C---a multi-die FPGA made of four die
XCVU23P, XCU26Virtex UltraScale+128700102960029040211235213201155 (5×11)11 HP + 3 HD572 HP + 72 HD34 GTY + 4 GTM4 PCIE4C2--XCU26 is the designation of the FPGA used on the Alveo SN1022 SmartNIC board, which is a rebadged XCVU23P
XCVU27PVirtex UltraScale+162000*1296000*74160*2016*960*9216*16128 (8×16)16 HP676 HP32 GTY + 48 GTM1 PCIE4158-software-limitted version of XCVU29P
XCVU29PVirtex UltraScale+216000172800098880268812801228816128 (8×16)16 HP676 HP32 GTY + 48 GTM1 PCIE4158-a multi-die FPGA made of four die; one die is identical to the one used in XCVU11P, the other three contain the GTM transceivers
XCVU31PVirtex UltraScale+ HBM54960439680256806723202880432 (8×4)4 HP208 HP32 GTY4 PCIE4C2-HBM memory controller + 4GB HBM memory stacksame die as XCVU33P, but with less HBM memory
XCVU33PVirtex UltraScale+ HBM54960439680256806723202880432 (8×4)4 HP208 HP32 GTY4 PCIE4C2-2 HBM memory controllers + 2×4GB HBM memory stacks
XCVU35P, XCU50Virtex UltraScale+ HBM1089608716805040013446405952864 (8×8)8 HP416 HP64 GTY1 PCIE4 + 4 PCIE4C522 HBM memory controllers + 2×4GB HBM memory stacksa multi-die FPGA made of XCVU33P + one XCVU11P die; XCU50 is the designation of the FPGA used on the Alveo U50 board, which is rebadged XCVU35P
XCVU37P, XCU280,

XCU55C

Virtex UltraScale+ HBM162960130368075120201696090241296 (8×12)12 HP624 HP96 GTY2 PCIE4 + 4 PCIE4C842 HBM memory controllers + 2×4GB HBM memory stacksa multi-die FPGA made of XCVU33P + two XCVU11P die; XCU280 and XCU55C are the designations of the FPGAs used on the Alveo U280 and Alveo U55C boards, respectively, which are rebadged XCVU37P
XCVU45PVirtex UltraScale+ HBM1089608716805040013446405952864 (8×8)8 HP416 HP64 GTY1 PCIE4 + 4 PCIE4C522 HBM memory controllers + 2×8GB HBM memory stackssame as XCVU35P, but with more HBM memory
XCVU47PVirtex UltraScale+ HBM162960130368075120201696090241296 (8×12)12 HP624 HP96 GTY2 PCIE4 + 4 PCIE4C842 HBM memory controllers + 2×8GB HBM memory stackssame as XCVU37P, but with more HBM memory
XCVU57PVirtex UltraScale+ HBM162960130368075120201696090241296 (8×12)12 HP624 HP32 GTY + 32 GTM4 PCIE4C1042 HBM memory controllers + 2×8GB HBM memory stackssame as XCVU47P, but with the XCVU11P die replaced with XCVU27P GTM-containing die
XCZU1CG, XCZU1EGZynq UltraScale+ MPSoC468037440108-21633 (1×3)3 HP + 1 HD156 HP + 24 HD----Processing System
XCZU2CG, XCZU2EGZynq UltraScale+ MPSoC5904*47232*150*-240*36 (2×3)3 HP + 4 HD156 HP + 96 HD----Processing Systemsoftware-limitted XCZU3
XCZU3CG, XCZU3EGZynq UltraScale+ MPSoC8820705603600216-36036 (2×3)3 HP + 4 HD156 HP + 96 HD----Processing System
XCZU3TCG

XCZU3TEG

Zynq UltraScale+ MPSoC9000720001444857611 HP + 3 HD52 HP + 72 HD8 GTH1 PCIE4C--Processing Systemnot yet in production
XCZU4CG, XCZU4EG, XCZU4EVZynq UltraScale+ MPSoC10980*87840*128*48*728*412 (3×4)4 HP + 4 HD156 HP + 96 HD16 GTH2 PCIE4--Processing System, VCUsoftware-limitted XCZU5
XCZU5CG, XCZU5EG, XCZU5EV, XCK26Zynq UltraScale+ MPSoC146401171207200144641248412 (3×4)4 HP + 4 HD156 HP + 96 HD16 GTH2 PCIE4--Processing System, VCUXCK26 is the designation of the device on the Kria K26 system on module, which is a rebadged XCZU5EV device
XCZU6CG, XCZU6EGZynq UltraScale+ MPSoC26825.5*214604*714*-1973*425 (4×7-3)4 HP + 5 HD208 HP + 120 HD24 GTH---Processing Systemsoftware-limitted XCZU9
XCZU7CG, XCZU7EG, XCZU7EV, XCU30Zynq UltraScale+ MPSoC2880023040012720312961728820 (4×6-4)8 HP + 4 HD416 HP + 48 HD24 GTH2 PCIE4--Processing System, VCUXCU30 is the designation of the devices on the Alveo U30 board, which are rebadged XCZU7EV devices
XCZU9CG, XCZU9EGZynq UltraScale+ MPSoC3426027408018000912-2520425 (4×7-3)4 HP + 5 HD208 HP + 120 HD24 GTH---Processing System
XCZU11EGZynq UltraScale+ MPSoC3732029856018540600802928829 (4×8-3)8 HP + 4 HD416 HP + 96 HD32 GTH + 16 GTY4 PCIE421Processing System
XCZU15EGZynq UltraScale+ MPSoC42660341280230407441123528425 (4×7-3)4 HP + 5 HD208 HP + 120 HD24 GTH---Processing System
XCZU17EGZynq UltraScale+ MPSoC52925.375*423403*796*102*1590*1141 (4×11-3)11 HP + 4 HD572 HP + 96 HD44 GTH + 28 GTY4* PCIE42*2*Processing Systemsoftware-limitted XCZU19
XCZU19EG, XCU25Zynq UltraScale+ MPSoC653405227202016098412819681141 (4×11-3)11 HP + 4 HD572 HP + 96 HD44 GTH + 28 GTY5 PCIE444Processing SystemXCU25 is the designation of the device on the Alveo U25 board, which is a rebadged XCZU19EG device
XCZU21DRZynq UltraScale+ RFSoC53160425280267001080804272845 (6×8-3)8 HP + 6 HD208 HP + 72 HD16 GTY2 PCIE421Processing System,

8 SD-FEC cores

same die as XCZU28DR
XCZU25DRZynq UltraScale+ RFSoC38761*310088*19561*792*48*3145*633 (6×6-3)6 HP + 4 HD299 HP + 48 HD8 GTY1 PCIE411Processing System,

8×4GSPS RF-ADC, 8×6.5GSPS RF-DAC

partial XCZU28DR die
XCZU27DRZynq UltraScale+ RFSoC53160425280267001080804272845 (6×8-3)8 HP + 6 HD299 HP + 48 HD16 GTY2 PCIE421Processing System,

8×4GSPS RF-ADC, 8×6.5GSPS RF-DAC

same die as XCZU28DR
XCZU28DRZynq UltraScale+ RFSoC53160425280267001080804272845 (6×8-3)8 HP + 6 HD299 HP + 48 HD16 GTY2 PCIE421Processing System,

8×4GSPS RF-ADC, 8×6.5GSPS RF-DAC,

8 SD-FEC cores

XCZU29DRZynq UltraScale+ RFSoC53160425280267001080804272845 (6×8-3)8 HP + 6 HD312 HP + 96 HD16 GTY2 PCIE421Processing System,

16×2GSPS RF-ADC, 16×6.5GSPS RF-DAC

same die as XCZU28DR
XCZU39DRZynq UltraScale+ RFSoC53160425280267001080804272845 (6×8-3)8 HP + 6 HD312 HP + 96 HD16 GTY2 PCIE421Processing System,

16×2.2GSPS RF-ADC, 16×6.5GSPS RF-DAC

same die as XCZU28DR
XCZU42DRZynq UltraScale+ RFSoC27960223680137406481601872522 (5x5-3)5 HP + 1 HD128 HP + 24 HD8 GTY-1-Processing System,

2×5GSPS RF-ADC,

8×2.5GSPS RF-ADC,

8×10GSPS RF-DAC

same die as XCZU67DR
XCZU43DRZynq UltraScale+ RFSoC53160425280267001080804272845 (6×8-3)8 HP + 6 HD299 HP + 48 HD16 GTY2 PCIE4C21Processing System,

4×5GSPS RF-ADC,

4×10GSPS RF-DAC

same die as XCZU48DR
XCZU46DRZynq UltraScale+ RFSoC53160425280267001080804272845 (6×8-3)8 HP + 6 HD312 HP + 48 HD16 GTY2 PCIE4C21Processing System,

4×5GSPS RF-ADC,

8×2.5GSPS RF-ADC

12×10GSPS RF-DAC,

8 SD-FEC cores

same die as XCZU48DR
XCZU47DRZynq UltraScale+ RFSoC53160425280267001080804272845 (6×8-3)8 HP + 6 HD299 HP + 48 HD16 GTY2 PCIE4C21Processing System,

8×5GSPS RF-ADC,

8×10GSPS RF-DAC

same die as XCZU48DR
XCZU48DRZynq UltraScale+ RFSoC53160425280267001080804272845 (6×8-3)8 HP + 6 HD299 HP + 48 HD16 GTY2 PCIE4C21Processing System,

8×5GSPS RF-ADC,

8×10GSPS RF-DAC,

8 SD-FEC cores

XCZU49DRZynq UltraScale+ RFSoC53160425280267001080804272845 (6×8-3)8 HP + 6 HD312 HP + 96 HD16 GTY2 PCIE4C21Processing System,

16×2.5GSPS RF-ADC,

16×10GSPS RF-DAC

same die as XCZU48DR
XCZU65DRZynq UltraScale+ RFSoC27960223680137406481601872522 (5x5-3)5 HP + 1 HD128 HP + 24 HD8 GTY-1-Processing System,

Digital Front End,

6×5.9GSPS RF-ADC,

6×10GSPS RF-DAC

same die as XCZU67DR
XCZU67DRZynq UltraScale+ RFSoC27960223680137406481601872522 (5x5-3)5 HP + 1 HD128 HP + 24 HD8 GTY-1-Processing System,

Digital Front End, 2×5.9GSPS RF-ADC,

8×2.95GSPS RF-ADC,

8×10GSPS RF-DAC

Note: the clock region grid is irregular on some UltraScale+ devices because of a hole in bottom for the Processing System (and possibly the VCU).

Versal

In 2018, Xilinx announced a product line called Versal. [78] Versal chips contain CPU, GPU, DSP, and FPGA components. Versal is fabricated using 7nm process technology.

The Versal devices are made of: [79]

ModelFamilySLICEs6-LUTs (=SLICEs×8)Block RAMs (36kbit each)Ultra RAMs (288kbit each)DSP58 blocksDDRMC blocksXPIO banksHDIO banksNoC master/slave portsTransceiversPCI Express blocksEthernet MACsInterlaken blocksHSC blocksAI EnginesOtherNotes
XCVE2002Versal AI Edge2500*20000*24*24*90*1402-----8 AI-MLXRAMnot yet in production
XCVE2102Versal AI Edge45763660847471761402-----12 AI-MLXRAMnot yet in production
XCVE2202Versal AI Edge13125*105000*108*108*324*14158 GTYP1 Gen41 MRMAC--24 AI-ML (12×2)XRAMsoftware-limitted version of XCVE2302, not yet in production
XCVE2302Versal AI Edge1878415027215515546414158 GTYP1 Gen41 MRMAC--34 AI-ML (17×2)XRAMnot yet in production
XCVE1752Versal AI Edge5606444851295446213123922144 GTY4 Gen42 MRMAC--304 (38×8)CPM Gen4software-limitted version of XCVC1702
XCVE2602Versal AI Edge46875*375000*476*224*984*3922132 GTYP4 Gen52 MRMAC--152 AI-ML (38×4)CPM Gen5, 2×VDEsoftware-limitted version of XCVC2802, not yet in production
XCVE2802Versal AI Edge6508852070460026413123922132 GTYP4 Gen52 MRMAC--304 AI-ML (38×8)CPM Gen5, 4×VDEsoftware-limitted version of XCVC2802, not yet in production
XCVC1352Versal AI Core30848246784441209928272108 GTYP1 Gen41 MRMAC--128XRAMnot yet in production
XCVC1502Versal AI Core46544372352*84839010323912132 GTY4 Gen43 MRMAC--198 (33×6)CPM Gen4software-limitted version of XCVC1702
XCVC1702Versal AI Core5606444851295446213123922144 GTY4 Gen44 MRMAC--304 (38×8)CPM Gen4
XCVC1802Versal AI Core90625*725000*800*325*1600*41222844 GTY4 Gen44 MRMAC--300 (50×6)CPM Gen4software-limitted version of XCVC1902
XCVC1902Versal AI Core112480899840967463196841222844 GTY4 Gen44 MRMAC--400 (50×8)CPM Gen4
XCVC2602Versal AI Core46875*375000*476*224*984*3922132 GTYP4 Gen52 MRMAC--152 AI-ML (38×4)CPM Gen5, 2×VDEsoftware-limitted version of XCVC2802, not yet in production
XCVC2802Versal AI Core6508852070460026413123922132 GTYP4 Gen52 MRMAC--304 AI-ML (38×8)CPM Gen5, 4×VDEnot yet in production
XCVM1102Versal Prime1878415027215515546414158 GTYP1 Gen41 MRMAC---XRAMsoftware-limitted version of XCVE2302, not yet in production
XCVM1302Versal Prime39616*316928*502*178*832*2819*24 GTY2 Gen42 MRMAC---CPM Gen4software-limitted version of XCVM1402
XCVM1402Versal Prime707205657601150286169641211824 GTY2 Gen42 MRMAC---CPM Gen4
XCVM1502Versal Prime5606444851295446213123922144 GTY4 Gen44 MRMAC---CPM Gen4XCVC1702 with AI Engines disabled
XCVM1802Versal Prime112480899840967463196841222844 GTY4 Gen44 MRMAC---CPM Gen4XCVC1902 with AI Engines disabled
XCVM2202Versal Prime6508852070460026413123922132 GTYP4 Gen52 MRMAC---CPM Gen5XCVC2802 with AI Engines and VDE disabled, not yet in production
XCVM2302Versal Prime8998471987214054531904392308 GTYP + 40 GTM2 Gen56 MRMAC----software-limitted version of XCVP1402, not yet in production
XCVM2502Versal Prime11252890022413416773984412-2816 GTYP2 Gen52 MRMAC---CPM Gen5software-limitted version of XCVP1202, not yet in production
XCVM2902Versal Prime127616102092819816452672392428 GTYP + 40 GTM2 Gen56 MRMAC----software-limitted version of XCVP1402, not yet in production
XCVP1002Versal Premium47600*380800*535*345*1140*27-16*20 GTY + 24 GTM1 Gen43 MRMAC + 2 DCMAC11-CPM Gen4software-limitted version of XCVP1052, not yet in production
XCVP1052Versal Premium67760542080751489157227-2220 GTY + 48 GTM1 Gen45 MRMAC + 3 DCMAC21-CPM Gen4not yet in production
XCVP1102Versal Premium8998471987214054531904392308 GTYP + 64 GTM2 Gen56 MRMAC + 4 DCMAC23--software-limitted version of XCVP1402
XCVP1202Versal Premium11252890022413416773984413-2828 GTYP + 20 GTM2 Gen52 MRMAC + 1 DCMAC-1-CPM Gen5
XCVP1402Versal Premium127616102092819816452672392428 GTYP + 96 GTM2 Gen56 MRMAC + 8 DCMAC25--
XCVP1502Versal Premium2150561720448254113017440413-5228 GTYP + 60 GTM2 Gen54 MRMAC + 3 DCMAC12-CPM Gen5multi-die device consisting of XCVP1202 + an extension die
XCVP1552Versal Premium2192481753984254113017392413-5268 GTYP + 20 GTM8 Gen54 MRMAC + 1 DCMAC-2-CPM Gen5XCVH15x2 without HBM
XCVP1702Versal Premium31758425406723741192510896413-7628 GTYP + 100 GTM2 Gen56 MRMAC + 5 DCMAC23-CPM Gen5multi-die device consisting of XCVP1202 + 2× extension die (same as XCVP1502)
XCVP1802Versal Premium42011233608964941254914352413-10028 GTYP + 140 GTM2 Gen58 MRMAC + 7 DCMAC34-CPM Gen5multi-die device consisting of XCVP1202 + 3× extension die (same as XCVP1502)
XCVP2502Versal Premium2135841708672254113017392413-5228 GTYP + 60 GTM2 Gen54 MRMAC + 3 DCMAC12472 (59×8)CPM Gen5multi-die device consisting of XCVP1202 + AI extension die
XCVP2802Versal Premium41864033491204941254914304413-10028 GTYP + 140 GTM2 Gen58 MRMAC + 7 DCMAC34472 (59×8)CPM Gen5multi-die device consisting of XCVP1202 + 2× extension die (same as XCVP1502) + AI extension die (same as XCVP2502)
XCVH1522Versal HBM2192481753984254113017392413-5268 GTYP + 20 GTM8 Gen54 MRMAC + 1 DCMAC-2-CPM Gen5, 8GB HBMmulti-die device consisting of XCVP1202 + HBM extension die + HBM memory stacks, not yet in production
XCVH1542CPM Gen5, 16GB HBM
XCVH1582CPM Gen5, 32GB HBM
XCVH1742Versal HBM32177625742083741192510848413-7668 GTYP + 60 GTM2 Gen56 MRMAC + 3 DCMAC13-CPM Gen5, 16GB HBMmulti-die device consisting of XCVP1202 + extension die (same as XCVP1502) + HBM extension die (same as XCVH15x2) + HBM memory stacks, not yet in production
XCVH1782CPM Gen5, 32GB HBM

Alveo and Kria

In addition to standalone FPGA chips, Xilinx also offers the Alveo product line of ready-to-use FPGA-based accelerator boards, and the Kria product line of FPGA-based Systems-on-Module (SOMs). The FPGAs used on these boards reuse the same die as standalone chips, but are considered to be distinct products by the Vivado toolchain.

ProductPurposeFPGACorresponding standalone FPGABoard formatPeripherials on board
Alveo SN1000 SmartNIC [80] Accelerated network interface controller XCU26XCVU23PPCI Express ×16 full height, half length, single slot
  • PCI Express Gen 3 ×16 or Gen 4 ×8 interface to FPGA
  • Dedicated 16-core ARMv8 Cortex-A72 CPU (NXP Layerscape LX2162A), connected to FPGA via internal PCI Express Gen 3 ×8 link
  • 4GB 72-bit component DDR4 RAM for the ARM CPU
  • 2×4GB 72-bit component DDR4 RAM for the FPGA
  • QSFP28 100 Gigabit Ethernet
  • 16GB of eMMC NAND flash
  • 64MB of NOR flash
  • NC-SI sideband connector
Alveo U25NXCU25XCZU19EGPCI Express ×16 half height, half length, single slot
  • PCI Express Gen 3 ×16 interface to the FPGA's PS block
  • 2GB 40-bit component DDR4 RAM for the PS block
  • 4GB 72-bit component DDR4 RAM for the FPGA fabric
  • 2×SFP28 25 Gigabit Ethernet
  • XtremeScale X2 Ethernet Controller
  • Propertiary DMB-1 maintenance port for configuration
Alveo U30Media accelerator card2×XCU302×XCZU7EVPCI Express ×8 half height, half length, single slot
  • bifurcated 2× PCI Express Gen 3 ×4 interface to the FPGAs' PS blocks (×4 lanes per device)
  • 2×4GB 72-bit component DDR4 RAM
  • Configuration flash
  • Propertiary maintenance port for configuration
Alveo U55C [81] High performance compute cardXCU55CXCVU47PPCI Express ×16 full height, half length, single slot
  • PCI Express Gen 3 ×16 or Gen 4 ×8 interface to FPGA
  • 16GB of HBM2 RAM (on FPGA)
  • 2×QSFP28 100 Gigabit Ethernet
  • Configuration flash
  • TI MSP432 satellite controller
  • Micro USB port for configuration
  • Propertiary DMB-1 maintenance port for configuration
Alveo U50 [82] Data center accelerator cardXCU50XCVU35PPCI Express ×16 half height, half length, single slot
  • PCI Express Gen 3 ×16 or Gen 4 ×8 interface to FPGA
  • 8GB of HBM2 RAM (on FPGA)
  • QSFP28 100 Gigabit Ethernet
  • Configuration flash
  • TI MSP432 satellite controller
  • Propertiary DMB-1 maintenance port for configuration
Alveo U200 [83] XCU200XCVU9PPCI Express ×16 full height, full or ¾ length, dual slot
  • PCI Express Gen 3 ×16 interface to FPGA
  • 4× DDR4 DIMM sockets with 4× 16GB RAM preinstalled
  • 2×QSFP28 100 Gigabit Ethernet
  • Configuration flash
  • TI MSP432 satellite controller
  • Micro USB port for configuration
Alveo U250XCU250XCVU13P
Alveo U280 [84] XCU280XCVU37P
  • PCI Express Gen 3 ×16 or Gen 4 ×8 interface to FPGA
  • 8GB of HBM2 RAM (on FPGA)
  • 2× DDR4 DIMM sockets with 2× 16GB RAM preinstalled
  • 2×QSFP28 100 Gigabit Ethernet
  • Configuration flash
  • Satellite controller
  • Micro USB port for configuration
Alveo X3522 [85] Low Latency Network AdapterXCUX35XCVU23PPCI Express ×8 half height, half length, single slot
  • PCI Express Gen 3 ×8 or Gen 4 ×8 interface to FPGA
  • 2×4GB 72-bit component DDR4 RAM
  • 2×DSFP28 50 Gigabit Ethernet
  • Configuration flash
  • LPC5500 satellite controller
  • Propertiary maintenance port for configuration
Alveo X3522PVAdaptable Accelerator Card
Kria K26XCK26XCZU5EV System on Module
  • 33.33 MHz oscillator, 32.768 kHz RTC crystal
  • 4GB 64-bit component DDR4 RAM (for PS block)
  • 16GB eMMC flash
  • 64MB QSPI flash
  • 8kB I2C EEPROM
  • TPM 2.0
  • Power supply circuit, powered by a single 5V input
  • Two custom power+IO connectors, exposing HPIO, HDIO, GTH, and GTR pins of the FPGA

FPGAs without integrated CPUs [86]

Artix

FamilyLaunchProcessLogic cellsBlock RAMDSP slicesMGTPCIe blocksMem Intf BWIO pinsVCCINT
nmCount (K)TITO (ns)TCKO (ns)Total (Mb)FMAX (MHz)CountTotal GMAC/sFMAX (MHz)TypeCountGbpsTotal GbpsTypeCountTypeGbps
Artix-7201028 nm16-2150.940.40.9-1350945-740929628GTP0-166.6211x4 Gen21DDR31066106-5001.00

Kintex

FamilyLaunchProcessLogic cellsBlock RAMUltraRAMDSP slicesMGTPCIe blocksMem Intf BWIO pinsVCCINT
nmCount (K)TITO (ns)TCKO (ns)Total (Mb)FMAX (MHz)Total (Mb)FMAX (MHz)CountTotal GMAC/sFMAX (MHz)TypeCountGbpsTotal GbpsTypeCountTypeGbps
Kintex-7201028 nm66-4780.580.265-34601240-19202845741GTX4-3212.5800x8 Gen21DDR31866285-5001.00
Kintex UltraScale2013 [87] 20 nm318-145112.7-75.9660768-55208180741GTH, GTY12-6416.32086x8 Gen31-6DDR32400312-8320.95
Kintex UltraScale+2015 [88] 16 nm356-114312.7-34.68250-366501368-35286287891GTH, GTY16-7632.753268x16 Gen30-5DDR42666280-6680.85

See also

Related Research Articles

<span class="mw-page-title-main">Field-programmable gate array</span> Array of logic gates that are reprogrammable

A field-programmable gate array (FPGA) is an integrated circuit designed to be configured by a customer or a designer after manufacturing – hence the term field-programmable. The FPGA configuration is generally specified using a hardware description language (HDL), similar to that used for an application-specific integrated circuit (ASIC). Circuit diagrams were previously used to specify the configuration, but this is increasingly rare due to the advent of electronic design automation tools.

Reconfigurable computing is a computer architecture combining some of the flexibility of software with the high performance of hardware by processing with very flexible high speed computing fabrics like field-programmable gate arrays (FPGAs). The principal difference when compared to using ordinary microprocessors is the ability to make substantial changes to the datapath itself in addition to the control flow. On the other hand, the main difference from custom hardware, i.e. application-specific integrated circuits (ASICs) is the possibility to adapt the hardware during runtime by "loading" a new circuit on the reconfigurable fabric.

<span class="mw-page-title-main">Altera</span> U.S. information technology company

Altera Corporation was a manufacturer of programmable logic devices (PLDs) headquartered in San Jose, California. It was founded in 1983 and acquired by Intel in 2015.

<span class="mw-page-title-main">Xilinx</span> American technology company

Xilinx, Inc. was an American technology and semiconductor company that primarily supplied programmable logic devices. The company was known for inventing the first commercially viable field-programmable gate array (FPGA) and creating the first fabless manufacturing model.

<span class="mw-page-title-main">Complex programmable logic device</span> Type of electronic component

A complex programmable logic device (CPLD) is a programmable logic device with complexity between that of PALs and FPGAs, and architectural features of both. The main building block of the CPLD is a macrocell, which contains logic implementing disjunctive normal form expressions and more specialized logic operations.

The MicroBlaze is a soft microprocessor core designed for Xilinx field-programmable gate arrays (FPGA). As a soft-core processor, MicroBlaze is implemented entirely in the general-purpose memory and logic fabric of Xilinx FPGAs.

The PowerPC 400 family is a line of 32-bit embedded RISC processor cores based on the PowerPC or Power ISA instruction set architectures. The cores are designed to fit inside specialized applications ranging from system-on-a-chip (SoC) microcontrollers, network appliances, application-specific integrated circuits (ASICs) and field-programmable gate arrays (FPGAs) to set-top boxes, storage devices and supercomputers.

PicoBlaze is the designation of a series of three free soft processor cores from Xilinx for use in their FPGA and CPLD products. They are based on an 8-bit RISC architecture and can reach speeds up to 100 MIPS on the Virtex 4 FPGA's family. The processors have an 8-bit address and data port for access to a wide range of peripherals. The license of the cores allows their free use, albeit only on Xilinx devices, and they come with development tools. Third-party tools are available from Mediatronix and others. Also PacoBlaze, a behavioral and device independent implementation of the cores exists and is released under the BSD License. The PauloBlaze is an open source VHDL implementation under the Apache License.

<span class="mw-page-title-main">Minimig</span>

Minimig is an open source re-implementation of an Amiga 500 using a field-programmable gate array (FPGA).

A multi-gigabit transceiver (MGT) is a SerDes capable of operating at serial bit rates above 1 Gigabit/second. MGTs are used increasingly for data communications because they can run over longer distances, use fewer wires, and thus have lower costs than parallel interfaces with equivalent data throughput.

QPACE is a massively parallel and scalable supercomputer designed for applications in lattice quantum chromodynamics.

Field-programmable gate array prototyping, also referred to as FPGA-based prototyping, ASIC prototyping or system-on-chip (SoC) prototyping, is the method to prototype system-on-chip and application-specific integrated circuit designs on FPGAs for hardware verification and early software development.

Computing with Memory refers to computing platforms where function response is stored in memory array, either one or two-dimensional, in the form of lookup tables (LUTs) and functions are evaluated by retrieving the values from the LUTs. These computing platforms can follow either a purely spatial computing model, as in field-programmable gate array (FPGA), or a temporal computing model, where a function is evaluated across multiple clock cycles. The latter approach aims at reducing the overhead of programmable interconnect in FPGA by folding interconnect resources inside a computing element. It uses dense two-dimensional memory arrays to store large multiple-input multiple-output LUTs. Computing with Memory differs from Computing in Memory or processor-in-memory (PIM) concepts, widely investigated in the context of integrating a processor and memory on the same chip to reduce memory latency and increase bandwidth. These architectures seek to reduce the distance the data travels between the processor and the memory. The Berkeley IRAM project is one notable contribution in the area of PIM architectures.

The NetFPGA project is an effort to develop open-source hardware and software for rapid prototyping of computer network devices. The project targeted academic researchers, industry users, and students. It was not the first platform of its kind in the networking community. NetFPGA used an FPGA-based approach to prototyping networking devices. This allows users to develop designs that are able to process packets at line-rate, a capability generally unafforded by software based approaches. NetFPGA focused on supporting developers that can share and build on each other's projects and IP building blocks.

<span class="mw-page-title-main">Xilinx ISE</span> Hardware design tool

Xilinx ISE is a discontinued software tool from Xilinx for synthesis and analysis of HDL designs, which primarily targets development of embedded firmware for Xilinx FPGA and CPLD integrated circuit (IC) product families. It was succeeded by Xilinx Vivado. Use of the last released edition from October 2013 continues for in-system programming of legacy hardware designs containing older FPGAs and CPLDs otherwise orphaned by the replacement design tool, Vivado Design Suite.

Virtex is the flagship family of FPGA products developed by Xilinx, a part of Advanced Micro Devices (AMD). Other current product lines include Kintex (mid-range) and Artix (low-cost), each including configurations and models optimized for different applications. In addition, Xilinx offers the Spartan low-cost series, which continues to be updated and is nearing production utilizing the same underlying architecture and process node as the larger 7-series devices.

<span class="mw-page-title-main">Tabula (company)</span>

Tabula was an American fabless semiconductor company based in Santa Clara, California. Founded in 2003 by Steve Teig, it raised $215 million in venture funding. The company designed and built three dimensional field programmable gate arrays and ranked third on the Wall Street Journal's annual "Next Big Thing" list in 2012.

SoundGrid is a networking and processing platform audio application made by Waves Audio and developed in cooperation with DiGiCo.

In computing, a logic block or configurable logic block (CLB) is a fundamental building block of field-programmable gate array (FPGA) technology. Logic blocks can be configured by the engineer to provide reconfigurable logic gates.

iCE is the brand name used for a family of low-power field-programmable gate arrays (FPGAs) produced by Lattice Semiconductor. Parts in the family are marketed with the "world's smallest FPGA" tagline, and are intended for use in portable and battery-powered devices, where they would be used to offload tasks from the device's main processor or system on chip. By doing so, the main processor and its peripherals can enter a low-power state or be powered off entirely, potentially increasing battery life.

References

  1. Akthar, Shahul (2014-09-21). "Block RAM and Distributed RAM in Xilinx FPGA". All About FPGA. Retrieved 2018-12-03.
  2. "UltraRAM: Breakthrough Embedded Memory Integration on UltraScale+ Devices" (PDF). Xilinx. 2016-06-14. Retrieved 2018-12-03.
  3. 1 2 "Xcell Journal: Issue 10" (PDF).{{cite web}}: CS1 maint: url-status (link)
  4. "Logic cell concept in xilinx fpgas". forums.xilinx.com. 2010-02-13. Retrieved 2021-04-26.
  5. "Marketing Math 201". EEJournal. 2015-11-24. Retrieved 2021-04-28.
  6. 1 2 "Xcell Journal: Issue 3" (PDF).{{cite web}}: CS1 maint: url-status (link)
  7. "Xcell Journal: Issue 9" (PDF).{{cite web}}: CS1 maint: url-status (link)
  8. "Xcell Journal: Issue 8" (PDF).{{cite web}}: CS1 maint: url-status (link)
  9. "Xcell Journal, Issue 13" (PDF).{{cite web}}: CS1 maint: url-status (link)
  10. 1 2 "Xcell Journal, Issue 19" (PDF).{{cite web}}: CS1 maint: url-status (link)
  11. "Xcell Journal, Issue 12" (PDF).{{cite web}}: CS1 maint: url-status (link)
  12. 1 2 3 "Xcell Journal, Issue 18" (PDF).{{cite web}}: CS1 maint: url-status (link)
  13. 1 2 "XILINX INC (Form Type: 10-K, Filing Date: 06/18/1999". edgar.secdatabase.com. Retrieved 2021-04-24.
  14. "XCELL 20 Newsletter (Q1 96)" (PDF).{{cite web}}: CS1 maint: url-status (link)
  15. 1 2 3 "XILINX INC (Form Type: 10-K, Filing Date: 06/19/1998". edgar.secdatabase.com. Retrieved 2021-04-24.
  16. 1 2 3 4 "Xilinx Xcell Journal Issue 30" (PDF).{{cite web}}: CS1 maint: url-status (link)
  17. 1 2 3 "XILINX INC (Form Type: 10-K, Filing Date: 06/12/2003". edgar.secdatabase.com. Retrieved 2021-04-24.
  18. "Xilinx Xcell Quarterly Newsletter #22 (Q3 96)" (PDF).{{cite web}}: CS1 maint: url-status (link)
  19. "XILINX INC (Form Type: 10-K, Filing Date: 06/12/2001". edgar.secdatabase.com. Retrieved 2021-04-24.
  20. "XILINX INC (Form Type: 10-K, Filing Date: 06/04/2004)". edgar.secdatabase.com. Retrieved 2021-04-24.{{cite web}}: CS1 maint: url-status (link)
  21. "XILINX INC (Form Type: 10-K, Filing Date: 06/17/2002)". edgar.secdatabase.com. Retrieved 2021-04-24.
  22. "Xcell Journal, Issue 46" (PDF).{{cite web}}: CS1 maint: url-status (link)
  23. "XILINX INC (Form Type: 10-K, Filing Date: 06/01/2005)". edgar.secdatabase.com. Retrieved 2021-04-24.{{cite web}}: CS1 maint: url-status (link)
  24. "XILINX INC Form 10-K Annual Report Filed 2020-05-08". edgar.secdatabase.com. Retrieved 2021-04-24.{{cite web}}: CS1 maint: url-status (link)
  25. Xilinx. "XC2000 Logic Cell Array Families Product Description" (PDF).{{cite web}}: CS1 maint: url-status (link)
  26. Xilinx. "XC3000 Logic Cell Array Families" (PDF).{{cite web}}: CS1 maint: url-status (link)
  27. Xilinx. "XC4000, XC4000A, XC4000H Logic Cell Array Families" (PDF).{{cite web}}: CS1 maint: url-status (link)
  28. Xilinx. "XC4000E and XC4000X Series FPGA data sheet" (PDF).{{cite web}}: CS1 maint: url-status (link)
  29. Xilinx. "XC4000XLA/XV Field Programmable Gate Arrays" (PDF).{{cite web}}: CS1 maint: url-status (link)
  30. Xilinx. "Spartan and Spartan-XL FPGA Families Data Sheet" (PDF).{{cite web}}: CS1 maint: url-status (link)
  31. Xilinx. "XC5200 Series Field Programmable Gate Arrays" (PDF).{{cite web}}: CS1 maint: url-status (link)
  32. 1 2 3 "Xilinx Programmable Logic Data Book" (PDF).{{cite web}}: CS1 maint: url-status (link)
  33. "Spartan-II FPGA Family Data Sheet" (PDF).{{cite web}}: CS1 maint: url-status (link)
  34. "Virtex™ 2.5V Field Programmable Gate Arrays" (PDF).{{cite web}}: CS1 maint: url-status (link)
  35. "Virtex™-E 1.8V Field Programmable Gate Arrays" (PDF).{{cite web}}: CS1 maint: url-status (link)
  36. "Spartan-IIE FPGA Family Data Sheet" (PDF).{{cite web}}: CS1 maint: url-status (link)
  37. "Virtex™-E 1.8V Extended Memory Field Programmable Gate Arrays" (PDF).{{cite web}}: CS1 maint: url-status (link)
  38. "Virtex-II Platform FPGAs: Complete Data Sheet" (PDF).{{cite web}}: CS1 maint: url-status (link)
  39. "Virtex-II Pro and Virtex-II Pro X Platform FPGAs: Introduction and Overview" (PDF).{{cite web}}: CS1 maint: url-status (link)
  40. "Spartan-3 FPGA Family Data Sheet" (PDF).{{cite web}}: CS1 maint: url-status (link)
  41. "Spartan-3E FPGA Family Data Sheet" (PDF).{{cite web}}: CS1 maint: url-status (link)
  42. "Spartan-3A FPGA Family: Data Sheet" (PDF).{{cite web}}: CS1 maint: url-status (link)
  43. "Spartan-3A DSP FPGA Family Data Sheet" (PDF).{{cite web}}: CS1 maint: url-status (link)
  44. "Virtex-4 Family Overview" (PDF).{{cite web}}: CS1 maint: url-status (link)
  45. "Virtex-4 FPGA User Guide" (PDF).{{cite web}}: CS1 maint: url-status (link)
  46. "XtremeDSP for Virtex-4 FPGAs, User Guide" (PDF).{{cite web}}: CS1 maint: url-status (link)
  47. "Virtex-5 Family Overview" (PDF).{{cite web}}: CS1 maint: url-status (link)
  48. "Virtex-5 FPGA User Guide" (PDF).{{cite web}}: CS1 maint: url-status (link)
  49. "Virtex-5 FPGA XtremeDSP Design Considerations, User Guide" (PDF).{{cite web}}: CS1 maint: url-status (link)
  50. "Virtex-5 FPGA RocketIO GTP Transceiver User Guide" (PDF).{{cite web}}: CS1 maint: url-status (link)
  51. "Virtex-5 RocketIO GTX Transceiver, User Guide" (PDF).{{cite web}}: CS1 maint: url-status (link)
  52. "Virtex-6 Family Overview" (PDF).{{cite web}}: CS1 maint: url-status (link)
  53. "Virtex-6 FPGA Configurable Logic Block, User Guide" (PDF).{{cite web}}: CS1 maint: url-status (link)
  54. "Virtex-6 FPGA Memory Resources User Guide" (PDF).{{cite web}}: CS1 maint: url-status (link)
  55. "Virtex-6 FPGA DSP48E1 Slice, User Guide" (PDF).{{cite web}}: CS1 maint: url-status (link)
  56. "Virtex-6 FPGA SelectIO Resources, User Guide" (PDF).{{cite web}}: CS1 maint: url-status (link)
  57. "Virtex-6 FPGA Clocking Resources User Guide" (PDF).{{cite web}}: CS1 maint: url-status (link)
  58. "Virtex-6 CXT Family Data Sheet" (PDF).{{cite web}}: CS1 maint: url-status (link)
  59. "Spartan-6 Family Overview" (PDF).{{cite web}}: CS1 maint: url-status (link)
  60. "Spartan-6 FPGA Configurable Logic Block User Guide" (PDF).{{cite web}}: CS1 maint: url-status (link)
  61. "Spartan-6 FPGA Block RAM Resources User Guide" (PDF).{{cite web}}: CS1 maint: url-status (link)
  62. "Spartan-6 FPGA DSP48A1 Slice" (PDF).{{cite web}}: CS1 maint: url-status (link)
  63. "Spartan-6 FPGA SelectIO Resources User Guide" (PDF).{{cite web}}: CS1 maint: url-status (link)
  64. "Spartan-6 FPGA Memory Controller User Guide" (PDF).{{cite web}}: CS1 maint: url-status (link)
  65. "Spartan-6 FPGA Clocking Resources" (PDF).{{cite web}}: CS1 maint: url-status (link)
  66. "Spartan-6 FPGA GTP Transceivers User Guide" (PDF).{{cite web}}: CS1 maint: url-status (link)
  67. "7 Series FPGAs Data Sheet: Overview" (PDF).{{cite web}}: CS1 maint: url-status (link)
  68. "7 Series FPGAs SelectIO Resources User Guide" (PDF).{{cite web}}: CS1 maint: url-status (link)
  69. "7 Series FPGAs Clocking Resources User Guide" (PDF).{{cite web}}: CS1 maint: url-status (link)
  70. "7 Series FPGAs GTP Transceivers User Guide" (PDF).{{cite web}}: CS1 maint: url-status (link)
  71. "7 Series FPGAs GTX/GTH Transceivers" (PDF).{{cite web}}: CS1 maint: url-status (link)
  72. "Zynq-7000 SoC Technical Reference Manual" (PDF).{{cite web}}: CS1 maint: url-status (link)
  73. "Zynq-7000 SoC Data Sheet: Overview" (PDF).{{cite web}}: CS1 maint: url-status (link)
  74. "Are Xilinx XC7A35T actually the same die as something else or...? - Page 1". www.eevblog.com. Retrieved 2021-04-25.
  75. "UltraScale Architecture and Product Data Sheet: Overview" (PDF).{{cite web}}: CS1 maint: url-status (link)
  76. "UltraScale Architecture SelectIO Resources User Guide" (PDF).{{cite web}}: CS1 maint: url-status (link)
  77. "UltraScale Architecture and Product Data Sheet: Overview" (PDF). Xilinx. Retrieved 2018-12-03.
  78. Merritt, Rick (2018-10-03). "Xilinx Unveils Versal SoC". EE Times Asia. Retrieved 2018-12-03.
  79. "Versal Architecture and Product Data Sheet: Overview (DS950)" (PDF).{{cite web}}: CS1 maint: url-status (link)
  80. "Alveo SN1000 SmartNICs Data Sheet (DS989) (v1.4)". 2022-04-22.
  81. "Alveo U55C Data Center Accelerator Cards Data Sheet (DS978) (v1.1)" (PDF). 2022-09-01.
  82. "Alveo U50 Data Center Accelerator Card Data Sheet (DS965) (v1.7.1)" (PDF). 2022-08-27.
  83. "Alveo U200 and U250 Data Center Accelerator Cards Data Sheet (DS962) (v1.5)". 2022-09-01.
  84. "Alveo U280 Data Center Accelerator Card Data Sheet (DS963) (v1.5)". 2022-09-01.
  85. "Alveo X3522 Data Sheet (DS1002) (v1.0)". 2022-10-18.
  86. Lazzaro, John. "Xilinx Part Family History". UC Berkeley. Retrieved 2018-12-03.
  87. "First 20nm UtraScale ASIC-Class FPGA From Xilinx". EE Times. 2013-07-09. Retrieved 2018-12-03.
  88. "Xilinx Unveils 16nm Ultrascale+ FPGAs, MPSoCs & 3D ICs". EE Times. 2015-02-24. Retrieved 2018-12-03.