Zen (microarchitecture)

Last updated

Zen is the codename for a family of computer processor microarchitectures from AMD, first launched in February 2017 with the first generation of its Ryzen CPUs. It is used in Ryzen (desktop and mobile), Ryzen Threadripper (workstation and high end desktop), and Epyc (server).

Contents

Comparison

Microarchitecture Zen [1] Zen 2 [2] Zen 3 [3] Zen 4 [4]
Microarchitecture variantsZen Zen+ [5] Zen 3Zen 3+Zen 4Zen 4c [6]
Fabrication process (nm) 14 nm 12 nm 7 nm 6 nm 5 nm
Cache [7] µop2K4K6.75K
L1 DataSize32 KB
Ways48
Latency4-8
InstructionSize64 KB32 KB
Ways8
Latency4-8
TLB 512-entry1024-entry
L2 Size512 KB/core1024 KB/core
Ways8
Latency171214
TLB1536-entry2048-entry3072-entry
L3 Size2048 KB/core4096 KB/core2048 KB/core
Ways16 [8]
Latency35404650
Max CPU core3264896 [9] 128 [10]
Simultaneous multithreading (SMT)Yes check.svg
OoO window (ROB)192224256320
Pipelinestage19
Decode (ways)46 [11]
SchedulerEntries
Dispatch6
Register fileInteger849296224 [12]
Floating-point96160 [12] 192 [12]
QueueInstruction72
Allocation44
AGUs23

History

First-gen Zen with and without GPU AMD Zen.svg
First-gen Zen with and without GPU
Epyc 7001 MCM AMD Epyc.svg
Epyc 7001 MCM
Ryzen Threadripper 1000 MCM AMD Threadripper.svg
Ryzen Threadripper 1000 MCM

First generation

The first generation Zen was launched with the Ryzen 1000 series of CPUs (codenamed Summit Ridge) in February 2017. [13] The first Zen-based preview system was demonstrated at E3 2016, and first substantially detailed at an event hosted a block away from the Intel Developer Forum 2016. The first Zen-based CPUs reached the market in early March 2017, and Zen-derived Epyc server processors (codenamed "Naples") launched in June 2017 [14] and Zen-based APUs (codenamed "Raven Ridge") arrived in November 2017. [15] This first iteration of Zen utilized GlobalFoundries' 14 nm manufacturing process. [16] Modified Zen-based processors for the Chinese market were also built under the AMD–Chinese joint venture.

First generation refresh

Zen+ was first released in April 2018, [17] powering the second generation of Ryzen processors, known as Ryzen 2000 (codenamed "Pinnacle Ridge") for mainstream desktop systems, and Threadripper 2000 (codenamed "Colfax") for high-end desktop setups. Zen+ used GlobalFoundries' 12 nm process, an enhanced version of their 14 nm node. [18] [19]

Second generation

The Ryzen 3000 series CPUs were released on July 7, 2019, [20] [21] while the Zen 2-based Epyc server CPUs (codename "Rome") were released on August 7, 2019. [22] Zen 2 Matisse products were the first consumer CPUs to use the 7 nm process node, from TSMC. [23] Zen 2 introduced the chiplet based architecture, where desktop, workstation, and server CPUs are all produced as multi-chip modules (MCMs); these Zen 2 products utilise the same core chiplets but are attached to different uncore silicon (different IO dies) in a hub and spoke topology. This approach differs from Zen 1 products, where the same die (Zeppelin) is used in a simple monolithic package for Summit Ridge products (Ryzen 1000 series) or used as interconnected building blocks in an MCM (up to four Zeppelin dies) for first generation Epyc and Threadripper products. [24] For earlier Zen 2 products the IO and uncore functions are performed within this separate IO die, [25] which contains the memory controllers, the fabric to enable core to core communication, and the bulk of uncore functions. The IO die used by Matisse processors is a small chip produced on GF 12 nm, [26] whereas the server IO die utilized for Threadripper and Epyc is far larger. [26] The server IO die is able to serve as a hub to connect up to eight 8-core chiplets, while the IO die for Matisse is able to connect up to two 8-core chiplets. These chiplets are linked by AMD's own second generation Infinity Fabric, [26] allowing a low-latency interconnect between the cores and to IO. The processing cores in the chiplets are organized in CCXs (Core Complexes) of four cores, linked together to form a single eight core CCD (Core Chiplet Die). [27]

Zen 2 also powers a line of mobile and desktop APUs marketed as Ryzen 4000, as well as fourth generation Xbox consoles and the PlayStation 5. The Zen 2 core microarchitecture is also used in the Mendocino APU, a 6 nm system on a chip aimed at mainstream mobile and other energy efficient low power computing products. [28]

Third generation

Zen 3 was released on November 5, 2020, [29] using a more matured 7 nm manufacturing process, powering Ryzen 5000 series CPUs and APUs [29] (codename "Vermeer" (CPU) and "Cézanne" (APU)) and Epyc processors (codename "Milan"). Zen 3's main performance gain over Zen 2 is the introduction of a unified CCX, which means that each core chiplet is now composed of eight cores with access to 32 MB of cache, instead of two sets of four cores with access to 16 MB of cache each. [30]

On April 1, 2022, AMD released the new Ryzen 6000 series for the laptop, using an improved Zen 3+ architecture, bringing RDNA 2 graphics integrated in a APU to the PC for the first time. [31]

Zen 3 with 3D V-Cache was officially previewed on May 31, 2021. [32] It differs from Zen 3 in that it includes 3D-stacked L3 cache on top of the normal L3 cache in the CCD, providing a total of 96 MB. The first product that uses it, the Ryzen 7 5800X3D, was released on April 20, 2022. The added cache brings an approximately 15% performance increase in gaming applications on average. [33]

Zen 3 with 3D V-Cache for server, codenamed Milan-X, was announced in AMD's Accelerated Data Center Premiere Keynote on November 8, 2021. It brings a 50% increase in select datacenter applications over Zen 3's Milan CPUs while maintaining socket compatibility with them. [34] Milan-X was released on March 21, 2022. [35]

Fourth generation

Epyc server CPUs with Zen 4, codenamed Genoa, were officially unveiled at AMD's Accelerated Data Center Premiere Keynote on November 8, 2021, [36] and released a year later in November 2022. [37] They have up to 96 Zen 4 cores and support both PCIe 5.0 and DDR5.

Furthermore, Zen 4 Cloud (a variant of Zen 4), abbreviated to Zen 4c, was also announced. Zen 4c is designed to have significantly greater density than standard Zen 4 while delivering greater power efficiency. This is achieved by redesigning Zen 4's core and cache to maximise density and compute throughput. It has 50% less L2 cache than Zen 4 and is not able to clock as high. Bergamo (Epyc 9704 series) has up to 128 Zen 4c cores and is socket-compatible with Genoa. It was released in June 2023. [38] Another server product line that uses Zen 4c cores is Siena (Epyc 8004 series), which has up to 64 cores, uses a different smaller socket and is intended for use cases that favour smaller size, cost, power and thermal footprints over high performance. [39]

Both Zen 4 and Zen 4 Cloud are manufactured on TSMC's 5 nm node. [38]

In addition to the Epyc 9004, 9704 and 8004 server processors (Genoa, Bergamo and Siena respectively), Zen 4 also powers Ryzen 7000 mainstream desktop processors (codenamed "Raphael"), [40] high-end mobile processors (codenamed "Dragon Range") and thin-and-light mobile processors (codenamed "Phoenix"). [41] It also powers the Ryzen 8000 G-series of desktop APUs. [42]

Fifth generation

Zen 5 was shown on AMD's Zen roadmap in May 2022. [43] It is believed to use TSMC's 4 nm and 3 nm processes. [44] It will power Ryzen 9000 mainstream desktop processors (codenamed "Granite Ridge"), high-end mobile processors (codenamed "Strix Point"), and Epyc 9005 server processors (codenamed "Turin").

Zen 5c is a compact variant of the Zen 5 core, primarily targeted at hyperscale cloud compute server customers. [45]

Related Research Articles

<span class="mw-page-title-main">AMD</span> American multinational semiconductor company

Advanced Micro Devices, Inc. (AMD) is an American multinational corporation and semiconductor company based in Santa Clara, California, that develops computer processors and related technologies for business and consumer markets.

<span class="mw-page-title-main">Multi-chip module</span> Electronic assembly containing multiple integrated circuits that behaves as a unit

A multi-chip module (MCM) is generically an electronic assembly where multiple integrated circuits, semiconductor dies and/or other discrete components are integrated, usually onto a unifying substrate, so that in use it can be treated as if it were a larger IC. Other terms for MCM packaging include "heterogeneous integration" or "hybrid integrated circuit". The advantage of using MCM packaging is it allows a manufacturer to use multiple components for modularity and/or to improve yields over a conventional monolithic IC approach.

<span class="mw-page-title-main">AMD APU</span> Series of microprocessors by AMD

AMD Accelerated Processing Unit (APU), formerly known as Fusion, is a series of 64-bit microprocessors from Advanced Micro Devices (AMD), combining a general-purpose AMD64 central processing unit (CPU) and 3D integrated graphics processing unit (IGPU) on a single die.

<span class="mw-page-title-main">Zen (first generation)</span> 2017 AMD 14-nanometre processor microarchitecture

Zen is the codename for the first iteration in a family of computer processor microarchitectures of the same name from AMD. It was first used with their Ryzen series of CPUs in February 2017. The first Zen-based preview system was demonstrated at E3 2016, and first substantially detailed at an event hosted a block away from the Intel Developer Forum 2016. The first Zen-based CPUs, codenamed "Summit Ridge", reached the market in early March 2017, Zen-derived Epyc server processors launched in June 2017 and Zen-based APUs arrived in November 2017.

<span class="mw-page-title-main">Zen 2</span> 2019 AMD 7-nanometre processor microarchitecture

Zen 2 is a computer processor microarchitecture by AMD. It is the successor of AMD's Zen and Zen+ microarchitectures, and is fabricated on the 7 nm MOSFET node from TSMC. The microarchitecture powers the third generation of Ryzen processors, known as Ryzen 3000 for the mainstream desktop chips, Ryzen 4000U/H and Ryzen 5000U for mobile applications, as Threadripper 3000 for high-end desktop systems, and as Ryzen 4000G for accelerated processing units (APUs). The Ryzen 3000 series CPUs were released on 7 July 2019, while the Zen 2-based Epyc server CPUs were released on 7 August 2019. An additional chip, the Ryzen 9 3950X, was released in November 2019.

<span class="mw-page-title-main">Ryzen</span> AMD brand for microprocessors

Ryzen is a brand of multi-core x86-64 microprocessors designed and marketed by Advanced Micro Devices (AMD) for desktop, mobile, server, and embedded platforms based on the Zen microarchitecture. It consists of central processing units (CPUs) marketed for mainstream, enthusiast, server, and workstation segments and accelerated processing units (APUs) marketed for mainstream and entry-level segments and embedded systems applications.

Zen+ is the codename for a computer processor microarchitecture by AMD. It is the successor to the first gen Zen microarchitecture, and was first released in April 2018, powering the second generation of Ryzen processors, known as Ryzen 2000 for mainstream desktop systems, Threadripper 2000 for high-end desktop setups and Ryzen 3000G for accelerated processing units (APUs).

<span class="mw-page-title-main">Epyc</span> AMD brand for server microprocessors

Epyc is a brand of multi-core x86-64 microprocessors designed and sold by AMD, based on the company's Zen microarchitecture. Introduced in June 2017, they are specifically targeted for the server and embedded system markets.

The Radeon RX Vega series is a series of graphics processors developed by AMD. These GPUs use the Graphics Core Next (GCN) 5th generation architecture, codenamed Vega, and are manufactured on 14 nm FinFET technology, developed by Samsung Electronics and licensed to GlobalFoundries. The series consists of desktop graphics cards and APUs aimed at desktops, mobile devices, and embedded applications.

<span class="mw-page-title-main">Socket SP3</span> CPU socket for AMD CPUs

Socket SP3 is a zero insertion force land grid array CPU socket designed by AMD supporting its Zen-, Zen 2- and Zen 3-based Epyc server processors, launched on June 20, 2017. Because the socket is the same size as socket TR4 and socket sTRX4, users can use CPU coolers not only designed for SP3, but also coolers designed for TR4 and sTRX4.

<span class="mw-page-title-main">Socket TR4</span> CPU socket for HEDT AMD CPUs

Socket TR4, also known as Socket SP3r2, is a zero insertion force land grid array (LGA) CPU socket designed by AMD supporting its first- and second-generation Zen-based Ryzen Threadripper desktop processors, launched on August 10, 2017 for the high-end desktop and workstation platforms. It was succeeded by Socket sTRX4 for the third generation of Ryzen Threadripper processors.

<span class="mw-page-title-main">Zen 4</span> 2022 AMD 5-nanometer processor microarchitecture

Zen 4 is the codename for a CPU microarchitecture designed by AMD, released on September 27, 2022. It is the successor to Zen 3 and uses TSMC's N6 process for I/O dies, N5 process for CCDs, and N4 process for APUs. Zen 4 powers Ryzen 7000 performance desktop processors, Ryzen 8000G series mainstream desktop APUs, and Ryzen Threadripper 7000 series HEDT and workstation processors. It is also used in extreme mobile processors, thin & light mobile processors, as well as EPYC 8004/9004 server processors.

Zen 5 is the codename for an upcoming CPU microarchitecture by AMD, shown on their roadmap in May 2022, destined for a release in the second half of 2024. It is the successor to Zen 4 and is believed to use TSMC's 4 nm and 3 nm processes. It will power Ryzen 9050U/HS thin and light mobile processors, Ryzen 9055HX series extreme mobile processors, Epyc 9005 server processors, Ryzen 9000 series desktop processors, and Ryzen Threadripper 9000 series enthusiast/workstation processors.

<span class="mw-page-title-main">Zen 3</span> 2020 AMD 7-nanometer processor microarchitecture

Zen 3 is the codename for a CPU microarchitecture by AMD, released on November 5, 2020. It is the successor to Zen 2 and uses TSMC's 7 nm process for the chiplets and GlobalFoundries's 14 nm process for the I/O die on the server chips and 12 nm for desktop chips. Zen 3 powers Ryzen 5000 mainstream desktop processors and Epyc server processors. Zen 3 is supported on motherboards with 500 series chipsets; 400 series boards also saw support on select B450 / X470 motherboards with certain BIOSes. Zen 3 is the last microarchitecture before AMD switched to DDR5 memory and new sockets, which are AM5 for the desktop "Ryzen" chips alongside SP5 and SP6 for the EPYC server platform and sTRX8. According to AMD, Zen 3 has a 19% higher instructions per cycle (IPC) on average than Zen 2.

References

  1. "Zen - Microarchitectures - AMD". WikiChip. Retrieved September 16, 2021.
  2. "Zen 2 - Microarchitectures - AMD". WikiChip. Retrieved September 16, 2021.
  3. "Zen 3 - Microarchitectures - AMD". WikiChip. Retrieved September 16, 2021.
  4. "Zen 4 - Microarchitectures - AMD". WikiChip. Retrieved October 10, 2022.
  5. "Zen+ - Microarchitectures - AMD". WikiChip. Retrieved October 10, 2022.
  6. btarunr (June 14, 2023). "AMD Zen 4c Not an E-core, 35% Smaller than Zen 4, but with Identical IPC". TechPowerUp. Retrieved January 14, 2024.
  7. Here, K, M, G, or T refer to the binary prefixes based on powers of 1024.
  8. "AMD Ryzen 7 5800H Mobile processor - 100-000000295". CPU-World. Retrieved September 17, 2021.
  9. Bonshor, Gavin (June 9, 2022). "AMD Announces Genoa-X: 4th Gen EPYC with Up to 96 Zen 4 Cores and 1GB L3 V-Cache". AnandTech. Retrieved October 10, 2022.
  10. Bonshor, Gavin; Smith, Ryan (November 2, 2023). "AMD Unveils Ryzen Mobile 7040U Series with Zen 4c: Smaller Cores, Bigger Efficiency". AnandTech. Retrieved January 14, 2024.
  11. Cutress, Ian (November 5, 2020). "AMD Zen 3 Ryzen Deep Dive Review: 5950X, 5900X, 5800X and 5600X Tested". AnandTech. Retrieved November 15, 2021.
  12. 1 2 3 "AMD's Zen 4 Part 1: Frontend and Execution Engine".
  13. Anthony, Sebastian (August 18, 2016). "AMD says Zen CPU will outperform Intel Broadwell-E, delays release to 2017". Ars Technica. Retrieved August 18, 2016.
  14. Cutress, Ian (June 20, 2017). "AMD's Future in Servers: New 7000-Series CPUs Launched and EPYC Analysis". AnandTech. Retrieved August 8, 2017.
  15. "HP ENVY x360 Convertible Laptop - 15z touch". HP Official Store.
  16. Lilly, Paul (July 23, 2016). "AMD Shipping Zen In Limited Quantity Q4, Volume Rollout Ramps Q1 2017". HotHardware. Archived from the original on April 21, 2019. Retrieved October 21, 2020.
  17. Bright, Peter (January 8, 2018). "AMD's 2018 roadmap: Desktop APUs in February, second-generation Ryzen in April". Ars Technica. Retrieved January 9, 2018.
  18. Cutress, Ian. "The AMD 2nd Gen Ryzen Deep Dive: The 2700X, 2700, 2600X, and 2600 Tested". Anandtech. Retrieved October 21, 2020.
  19. Hruska, Joel (September 22, 2017). "AMD Will Use 'New' GlobalFoundries 12nm Node for Future CPUs, GPUs". ExtremeTech. Retrieved October 21, 2020.
  20. Leather, Antony. "AMD Ryzen 9 3900X and Ryzen 7 3700X Review: Old Ryzen Owners Look Away Now". Forbes. Retrieved September 19, 2019.
  21. "AMD Ryzen 3000 CPUs launching July 7 with up to 12 cores". PCGamesN. Retrieved May 28, 2019.
  22. "2nd Gen AMD EPYC™ Processors Set New Standard for the Modern Datacenter with Record-Breaking Performance and Significant TCO Savings". AMD. August 7, 2019. Retrieved August 8, 2019.
  23. January 2020, Bill Thomas 15 (January 15, 2020). "AMD Zen 2 specs, price and release date: all about AMD's newest processor tech". TechRadar. Retrieved October 21, 2020.{{cite web}}: CS1 maint: numeric names: authors list (link)
  24. "Naples Zeppelin vs. Rome Chiplet (subsection)".
  25. btarunr (June 12, 2019). "AMD Ryzen 3000 'Matisse' I/O Controller Die 12nm, Not 14nm". TechPowerUp. Retrieved October 21, 2020.
  26. 1 2 3 Cutress, Ian (June 10, 2019). "AMD Zen 2 Microarchitecture Analysis: Ryzen 3000 and EPYC Rome". AnandTech. Retrieved October 21, 2020.
  27. September 2019, Scharon Harding 14 (September 14, 2019). "What Is an AMD CCX? A Basic Definition". Tom's Hardware. Retrieved October 21, 2020.{{cite web}}: CS1 maint: numeric names: authors list (link)
  28. Hachman, Mark (September 20, 2022). "AMD Ryzen 7020 'Mendocino' CPUs want low-cost laptops to last all day long". PCWorld. Retrieved April 21, 2024.
  29. 1 2 Ridley, Jacob (October 8, 2020). "AMD Ryzen 5000 – Zen 3 CPU release date, specs, pricing, and performance". PC Gamer. Retrieved October 20, 2020.
  30. "AMD's Zen 3 Drops November 5 With Major IPC Enhancements -". ExtremeTech. Retrieved October 21, 2020.
  31. "AMD Unveils New Ryzen Mobile Processors Uniting "Zen 3+" core with AMD RDNA 2 Graphics in Powerhouse Design". AMD (Press release). Santa Clara, CA. January 4, 2022. Retrieved May 27, 2022.
  32. AMD at Computex 2021 , retrieved November 15, 2021
  33. "Ryzen 7 5800X3D vs. Ryzen 7 5800X: Zen 3 Gaming Shootout". TechSpot. Retrieved July 11, 2022.
  34. AMD Accelerated Data Center Premiere Keynote , retrieved November 15, 2021
  35. WhyCry. "AMD EPYC 7003 'Milan-X' launches March 21st, specs and pricing leaked". VideoCardz.com. Retrieved July 11, 2022.
  36. Hertz, Jake (November 15, 2021). "AMD Teases More Data Center Processors at 2021 Accelerated Data Center Premiere". All About Circuits. Retrieved June 6, 2023.
  37. "AMD Launches 4th Gen EPYC "Genoa" Zen 4 Server Processors: 100% Performance Uplift for 50% More Cores". TechPowerUp. November 11, 2022. Retrieved June 6, 2023.
  38. 1 2 Smith, Ryan (June 13, 2023). "AMD Intros EPYC 97x4 "Bergamo" CPUs: 128 Zen 4c CPU Cores For Servers, Shipping Now". AnandTech. Retrieved April 21, 2024.
  39. Norem, Josh (September 18, 2023). "AMD Unveils Final 4th Gen Epyc CPU Code-Named Siena". ExtremeTech. Retrieved April 22, 2024.
  40. Alcorn, Paul (November 23, 2022). "AMD Zen 4 Ryzen 7000 Specs, Release Date, Benchmarks, Price Listings". Tom's Hardware. Retrieved April 21, 2024.
  41. Burek, John (January 5, 2023). "'Phoenix' and 'Dragon Range' Arrive! AMD Outlines Ryzen 7000 Mobile CPUs, Some With Onboard 'Ryzen AI'". PCMag Australia. Retrieved April 21, 2024.
  42. Cunningham, Andrew (January 29, 2024). "Ryzen 8000G review: An integrated GPU that can beat a graphics card, for a price". Ars Technica. Retrieved April 21, 2024.
  43. "AMD confirms Zen4 & Ryzen 7000 series lineup: Raphael in 2022, Dragon Range and Phoenix in 2023". VideoCardz.com. May 3, 2022.
  44. Norem, Josh (February 21, 2024). "Report: AMD's Zen 5 Architecture Is Starting Volume Production in Q3". ExtremeTech. Retrieved April 22, 2024.
  45. Smith, Ryan (June 9, 2022). "AMD Zen Architecture Roadmap: Zen 5 in 2024 With All-New Microarchitecture". AnandTech. Retrieved December 11, 2022.