Zen 2

Last updated

AMD Zen 2
AMD Zen 2 logo.png
General information
Launched7 July 2019;4 years ago (7 July 2019) [1]
Designed by AMD
Common manufacturer(s)
Cache
L1 cache 64 KB (per core)
L2 cache512 KB (per core)
Architecture and classification
Technology node TSMC N7 [2] [3]
TSMC N6 [4]
Instruction set AMD64 (x86_64)
Physical specifications
Cores
  • Up to 64
Socket(s)
Products, models, variants
Product code name(s)
  • Matisse (desktop)
  • Rome (server) [3]
  • Castle Peak (HEDT)
  • Renoir (Desktop APU, mobile and embedded)
  • Mendocino (mobile and embedded refresh)
History
Predecessor(s) Zen+
Successor(s) Zen 3
Support status
Supported

Zen 2 is a computer processor microarchitecture by AMD. It is the successor of AMD's Zen and Zen+ microarchitectures, and is fabricated on the 7 nm MOSFET node from TSMC. The microarchitecture powers the third generation of Ryzen processors, known as Ryzen 3000 for the mainstream desktop chips (codename "Matisse"), Ryzen 4000U/H (codename "Renoir") and Ryzen 5000U (codename "Lucienne") for mobile applications, as Threadripper 3000 for high-end desktop systems, [5] [6] and as Ryzen 4000G for accelerated processing units (APUs). The Ryzen 3000 series CPUs were released on 7 July 2019, [7] [8] while the Zen 2-based Epyc server CPUs (codename "Rome") were released on 7 August 2019. [9] An additional chip, the Ryzen 9 3950X, was released in November 2019. [7]

Contents

At CES 2019, AMD showed a Ryzen third-generation engineering sample that contained one chiplet with eight cores and 16 threads. [5] AMD CEO Lisa Su also said to expect more than eight cores in the final lineup. [10] At Computex 2019, AMD revealed that the Zen 2 "Matisse" processors would feature up to 12 cores, and a few weeks later a 16 core processor was also revealed at E3 2019, being the aforementioned Ryzen 9 3950X. [11] [12]

Zen 2 includes hardware mitigations to the Spectre security vulnerability. [13] Zen 2-based EPYC server CPUs use a design in which multiple CPU dies (up to eight in total) manufactured on a 7 nm process ("chiplets") are combined with a 14 nm I/O die on each multi-chip module (MCM) package. Using this, up to 64 physical cores and 128 total compute threads (with simultaneous multithreading) are supported per socket. This architecture is nearly identical to the layout of the "pro-consumer" flagship processor Threadripper 3990X. [14] Zen 2 delivers about 15% more instructions per clock than Zen and Zen+, [15] [16] the 14- and 12-nm microarchitectures utilized on first and second generation Ryzen, respectively.

The Steam Deck, [17] [18] PlayStation 5, Xbox Series X and Series S all use chips based on the Zen 2 microarchitecture, with proprietary tweaks and different configurations in each system's implementation than AMD sells in its own commercially available APUs. [19] [20]

Design

Ryzen 5 3600 Infrared.jpg
AMD Epyc 7702 delidded.jpg
Two delidded Zen 2 processors designed with the multi-chip module approach. The CPU on the left/top (used for mainstream Ryzen CPUs) uses a smaller, less capable I/O die and up to two CCDs (only one is used on this particular example), while the one on the right/bottom (used for high-end desktop, HEDT, Ryzen Threadripper and server Epyc CPUs) uses a larger, more capable I/O die and up to eight CCDs.

Zen 2 is a significant departure from the physical design paradigm of AMD's previous Zen architectures, Zen and Zen+. Zen 2 moves to a multi-chip module design where the I/O components of the CPU are laid out on its own, separate die, which is also called a chiplet in this context. This separation has benefits in scalability and manufacturability. As physical interfaces don't scale very well with shrinks in process technology, their separation into a different die allows these components to be manufactured using a larger, more mature process node than the CPU dies. The CPU dies (referred to by AMD as core complex dies or CCDs), now more compact due to the move of I/O components onto another die, can be manufactured using a smaller process with fewer manufacturing defects than a larger die would exhibit (since the chances of a die having a defect increases with device (die) size) while also allowing for more dies per wafer. In addition, the central I/O die can service multiple chiplets, making it easier to construct processors with a large number of cores. [14] [21] [22]

Simplified illustration of the Zen 2 microarchitecture Zen2 Microarchitektur.svg
Simplified illustration of the Zen 2 microarchitecture
AMD@7nm(12nmIOD)@Zen2@Rome@EPYC 7702 ES@2S1404E2VJUG5 BB ES DSCx16 CCD polysilicon@5xLED.jpg
AMD@7nm(12nmIOD)@Zen2@Rome@EPYC 7702 ES@2S1404E2VJUG5 BB ES DSCx13 IOD polysilicon@5x.jpg
AMD@7nm(12nmIOD)@Zen2@Matisse@Ryzen 5 3600@100-000000031 BF 1923SUT 9HM6935R90062 DSCx8 IOD polysilicon@5x.jpg
On the left (top on mobile): Die shot of a Zen 2 Core Complex Die. On the middle: Die shot of a Zen 2 EPYC/Threadripper I/O die, On the right (bottom): I/O die of a Zen 2 mainstream Ryzen I/O die.

With Zen 2, each CPU chiplet houses 8 CPU cores, arranged in 2 core complexes (CCXs), each of 4 CPU cores. These chiplets are manufactured using TSMC's 7 nanometer MOSFET node and are about 74 to 80 mm2 in size. [21] The chiplet has about 3.8 billion transistors, while the 12 nm I/O die (IOD) is ~125 mm2 and has 2.09 billion transistors. [23] The amount of L3 cache has been doubled to 32 MB, with each CCX in the chiplet now having access to 16 MB of L3 compared to the 8 MB of Zen and Zen+. [24] AVX2 performance is greatly improved by an increase in execution unit width from 128-bit to 256-bit. [25] There are multiple variants of the I/O die: one manufactured on GlobalFoundries 14 nanometer process, and another manufactured using the same company's 12 nanometer process. The 14 nanometer dies have more features and are used for the EPYC Rome processors, whereas the 12 nm versions are used for consumer processors. [21] Both processes have similar feature sizes, so their transistor density is also similar. [26]

AMD's Zen 2 architecture can deliver higher performance at a lower power consumption than Intel's Cascade Lake architecture, with an example being the AMD Ryzen Threadripper 3970X running with a TDP of 140 W in ECO mode delivering higher performance than the Intel Core i9-10980XE running with a TDP of 165 W. [27]

New features

Feature tables

CPUs

APUs

APU features table

Products

On 26 May 2019, AMD announced six Zen 2-based desktop Ryzen processors (codenamed "Matisse"). These included 6-core and 8-core variants in the Ryzen 5 and Ryzen 7 product lines, as well as a new Ryzen 9 line that includes the company's first 12-core and 16-core mainstream desktop processors. [33]

The Matisse I/O die is also used as the X570 chipset.

AMD's second generation of Epyc processors, codenamed "Rome", feature up to 64 cores, and were launched on 7 August 2019. [9]

Desktop CPUs

3000 series (Matisse)

Common features of Ryzen 3000 desktop CPUs:

  • Socket: AM4.
  • All the CPUs support DDR4-3200 in dual-channel mode.
  • L1 cache: 64 KB (32 KB data + 32 KB instruction) per core.
  • L2 cache: 512 KB per core.
  • All the CPUs support 24 PCIe 4.0 lanes. 4 of the lanes are reserved as link to the chipset.
  • No integrated graphics.
  • Fabrication process: TSMC 7FF.
Branding and Model Cores
(threads)
Thermal Solution Clock rate (GHz) L3 cache
(total)
TDP Chiplets Core
config [lower-roman 1]
Release
date
MSRP
BaseBoost
Ryzen 9 3950X 16 (32)N/A3.54.764 MB105 W [lower-roman 2] 2 × CCD
1 × I/OD
4 × 4Nov 25, 2019US $749
3900XT 12 (24)3.84 × 3Jul 7, 2020US $499
3900X Wraith Prism 4.6Jul 7, 2019
3900 [lower-alpha 1] OEM3.14.365 WOct 8, 2019OEM
Ryzen 7 3800XT 8 (16)N/A3.94.732 MB105 W1 × CCD
1 × I/OD
2 × 4Jul 7, 2020US $399
3800X Wraith Prism 4.5Jul 7, 2019
3700X [lower-alpha 1] 3.64.4065 W [lower-roman 3] US $329
Ryzen 5 3600XT 6 (12)N/A3.84.595 W2 × 3Jul 7, 2020US $249
3600X Wraith Spire (non-LED) 4.4Jul 7, 2019
3600 [lower-alpha 1] Wraith Stealth 3.64.265 WUS $199
3500X [35] 6 (6)4.1Oct 8, 2019China
¥1099
3500 OEM16 MBNov 15, 2019OEM (West)
Japan
¥16000 [36]
Ryzen 3 3300X 4 (8) Wraith Stealth 3.84.31 × 4Apr 21, 2020US $119
3100 3.63.92 × 2US $99
  1. Core Complexes (CCXs) × cores per CCX
  2. Ryzen 9 3900X and Ryzen 9 3950X may consume over 145 W under load. [34]
  3. Ryzen 7 3700X may consume over 90 W under load. [34]
  1. 1 2 3 Model also available as PRO 3600, PRO 3700, PRO 3900, released on September 30, 2019 for OEMs.

Common features of Ryzen 3000 HEDT/workstation CPUs:

  • Socket: sTRX4 (Threadripper), sWRX8 (Threadripper PRO).
  • Threadripper CPUs support DDR4-3200 in quad-channel mode while Threadripper PRO CPUs support DDR4-3200 in octa-channel mode.
  • L1 cache: 64 KB (32 KB data + 32 KB instruction) per core.
  • L2 cache: 512 KB per core.
  • Threadripper CPUs support 64 PCIe 4.0 lanes while Threadripper PRO CPUs support 128 PCIe 4.0 lanes. 8 of the lanes are reserved as link to the chipset.
  • No integrated graphics.
  • Fabrication process: TSMC 7FF.
Branding and Model Cores
(threads)
Clock rate (GHz) L3 cache
(total)
TDP Chiplets Core
config [lower-roman 1]
Release
date
MSRP
BaseBoost
Ryzen
Threadripper
PRO
3995WX 64 (128)2.74.2256 MB280 W
[lower-roman 2]
8 × CCD
1 × I/OD
16 × 4Jul 14, 2020
3975WX 32 (64)3.5128 MB4 × CCD
1 × I/OD
8 × 4
3955WX 16 (32)3.94.364 MB2 × CCD
1 × I/OD
4 × 4
3945WX 12 (24)4.04 × 3
Ryzen
Threadripper
3990X 64 (128)2.9256 MB8 × CCD
1 × I/OD
16 × 4Feb 7, 2020US $3990
3970X 32 (64)3.74.5128 MB4 × CCD
1 × I/OD
8 × 4Nov 25, 2019US $1999
3960X 24 (48)3.88 × 3US $1399
  1. Core Complexes (CCXs) × cores per CCX
  2. Ryzen Threadripper 3990X may consume over 490 W under load. [37]

4000 series (Renoir)

Based on the Ryzen 4000G series APUs but with the integrated graphics disabled. Common features of Ryzen 4000 desktop CPUs:

  • Socket: AM4.
  • All the CPUs support DDR4-3200 in dual-channel mode.
  • L1 cache: 64 KB (32 KB data + 32 KB instruction) per core.
  • L2 cache: 512 KB per core.
  • All the CPUs support 24 PCIe 3.0 lanes. 4 of the lanes are reserved as link to the chipset.
  • No integrated graphics.
  • Fabrication process: TSMC 7FF.
  • Bundled with AMD Wraith Stealth

The AMD 4700S desktop processor is part of a "desktop kit" that comes bundled with a motherboard and GDDR6 RAM. The CPU is soldered, and provides 4 PCIe 2.0 lanes.

Branding and model Cores
(threads)
Clock rate (GHz) L3 cache
(total)
TDP Core
config [lower-roman 1]
Release
date
MSRP
BaseBoost
AMD4800S [38] [39] 8 (16)4.08 MB2 × 42022bundled with desktop kit
4700S [40] 3.675 W2021
Ryzen 5 4500 6 (12)4.165 W2 × 3Apr 4, 2022US $129
Ryzen 3 4100 4 (8)3.84.04 MB1 × 4US $99
    1. Core Complexes (CCX) × cores per CCX

    Desktop APUs

    Common features of Ryzen 4000 desktop APUs:

    Branding and model CPU GPU TDP Release
    date
    Release
    price
    Cores
    (threads)
    Clock rate (GHz) L3 cache
    (total)
    Core
    Config [lower-roman 1]
    ModelClock
    (GHz)
    Config [lower-roman 2] Processing
    power [lower-roman 3]
    (GFLOPS)
    BaseBoost
    Ryzen 7 4700G [lower-alpha 1] 8 (16)3.64.48 MB2 × 4Radeon
    Graphics [lower-alpha 2]
    2.1512:32:16
    8 CU
    2150.465 WJul 21, 2020OEM
    4700GE [lower-alpha 1] 3.14.32.0204835 W
    Ryzen 5 4600G [lower-alpha 1]
    [ citation needed ]
    6 (12)3.74.22 × 31.9448:28:14
    7 CU
    1702.465 WJul 21, 2020
    (OEM) /
    Apr 4, 2022
    (retail)
    OEM /
    US $154
    4600GE [lower-alpha 1] 3.335 WJul 21, 2020OEM
    Ryzen 3 4300G [lower-alpha 1] 4 (8)3.84.04 MB1 × 41.7384:24:12
    6 CU
    1305.665 W
    4300GE [lower-alpha 1] 3.535 W
    1. Core complexes (CCXs) × cores per CCX
    2. Unified shaders  : Texture mapping units  : Render output units and Compute units (CU)
    3. Single-precision performance is calculated from the base (or boost) core clock speed based on a FMA operation.
    1. 1 2 3 4 5 6 Model also available as PRO version as 4350GE, [lower-alpha 3] 4350G, [lower-alpha 4] 4650GE, [lower-alpha 5] 4650G, [lower-alpha 6] 4750GE, [lower-alpha 7] 4750G, [lower-alpha 8] released on July 21, 2020 for OEM only. [lower-alpha 9]
    2. All of the iGPUs are branded as AMD Radeon Graphics.
    3. "AMD Ryzen 3 PRO 4350GE". AMD. Retrieved 18 October 2022.
    4. "AMD Ryzen 3 PRO 4350G". AMD. Retrieved 18 October 2022.
    5. "AMD Ryzen 3 PRO 4650GE". AMD. Retrieved 18 October 2022.
    6. "AMD Ryzen 3 PRO 4650G". AMD. Retrieved 18 October 2022.
    7. "AMD Ryzen 3 PRO 4750GE". AMD. Retrieved 18 October 2022.
    8. "AMD Ryzen 3 PRO 4750G". AMD. Retrieved 18 October 2022.
    9. "AMD Ryzen 4000 Series Desktop Processors with AMD Radeon Graphics Set to Deliver Breakthrough Performance for Commercial and Consumer Desktop PCs". AMD. 21 July 2020. Retrieved 18 October 2022.

    Mobile APUs

    Renoir (4000 series)

    Common features of Ryzen 4000 notebook APUs:

    Branding and Model CPU AMD Radeon Graphics GPU TDP Release
    date
    Cores
    (threads)
    Clock rate (GHz) L3 cache
    (total)
    Core
    config [lower-roman 1]
    Clock
    (GHz)
    Config [lower-roman 2] Processing
    power
    (GFLOPS) [lower-roman 3]
    BaseBoost
    Ryzen 9 4900H 8 (16)3.34.48 MB2 × 41.75512:32:8
    8 CU
    179235–54 WMar 16, 2020
    4900HS 3.04.335 W
    Ryzen 7 4800H [41] 2.94.21.6448:28:8
    7 CU
    1433.635–54 W
    4800HS 35 W
    4980U [lower-alpha 1] 2.04.41.95512:32:8
    8 CU
    1996.810–25 WApr 13, 2021
    4800U 1.84.21.751792Mar 16, 2020
    4700U [lower-alpha 2] 8 (8)2.04.11.6448:28:8
    7 CU
    1433.6
    Ryzen 5 4600H [42] 6 (12)3.04.02 × 31.5384:24:8
    6 CU
    115235–54 W
    4600HS [43] 35 W
    4680U [lower-alpha 1] 2.1448:28:8
    7 CU
    134410–25 WApr 13, 2021
    4600U [lower-alpha 2] 384:24:8
    6 CU
    1152Mar 16, 2020
    4500U 6 (6)2.3
    Ryzen 3 4300U [lower-alpha 2] 4 (4)2.73.74 MB1 × 41.4320:20:8
    5 CU
    896
    1. Core Complexes (CCX) × cores per CCX
    2. Unified shaders  : texture mapping units  : render output units and compute units (CU)
    3. Single precision performance is calculated from the base (or boost) core clock speed based on a FMA operation.
    1. 1 2 Only found on the Microsoft Surface Laptop 4.
    2. 1 2 3 Model also available as PRO version as 4450U, [lower-alpha 3] 4650U, [lower-alpha 4] 4750U, [lower-alpha 5] released May 7, 2020.
    3. "AMD Ryzen 3 PRO 4450U". AMD.
    4. "AMD Ryzen 5 PRO 4650U". AMD.
    5. "AMD Ryzen 7 PRO 4750U". AMD.

    Lucienne (5000 series)

    Common features:

    Branding and Model CPU GPU TDP Release
    date
    Cores
    (threads)
    Clock rate (GHz) L3 cache
    (total)
    Core
    config [lower-roman 1]
    ModelClock
    (GHz)
    Config [lower-roman 2] Processing
    power [lower-roman 3]
    (GFLOPS)
    BaseBoost
    Ryzen 7 5700U 8 (16)1.84.38 MB
    4 MB per CCX
    2 × 4Radeon
    Graphics
    [lower-alpha 1]
    1.9512:32:8
    8 CU
    1945.610–25 WJan 12, 2021
    Ryzen 5 5500U [44] 6 (12)2.14.02 × 31.8448:28:8
    7 CU
    1612.8
    Ryzen 3 5300U 4 (8)2.63.84 MB1 × 41.5384:24:8
    6 CU
    1152
    1. All of the iGPUs are branded as AMD Radeon Graphics.
    1. Core Complexes (CCX) × cores per CCX
    2. Unified shaders  : texture mapping units  : render output units and compute units (CU)
    3. Single precision performance is calculated from the base (or boost) core clock speed based on a FMA operation.

    Ultra-mobile APUs

    In 2022, AMD announced the Mendocino ultra-mobile APUs. [45]

    Common features:

    Branding and Model CPU GPU TDP Release
    date
    Cores
    (threads)
    Clock rate (GHz) L3 cache
    (total)
    Core
    config [lower-roman 1]
    ModelClock
    (GHz)
    BaseBoost
    Ryzen 5 7520U [lower-alpha 1] 4 (8)2.84.34 MB1 × 4Radeon 610M
    2 CU
    1.915 WSep 20, 2022 [46]
    Ryzen 3 7320U [lower-alpha 1] 2.44.1
    1. Core Complexes (CCX) × cores per CCX
    1. 1 2 Model also available as Chromebook optimized version as 7520C [lower-alpha 2] and 7320C [lower-alpha 3] released on May 23, 2023
    2. "AMD Ryzen 5 7520C". AMD.
    3. "AMD Ryzen 3 7320C". AMD.

    Embedded APUs

    ModelRelease
    date
    Fab CPU GPU Socket PCIe
    support
    Memory
    support
    TDP
    Cores
    (threads)
    Clock rate (GHz) Cache Archi-
    tecture
    Config [lower-roman 1] Clock
    (GHz)
    Processing
    power [lower-roman 2]
    (GFLOPS)
    BaseBoost L1 L2 L3
    V2516 [47] November 10, 2020 [48] TSMC
    7FF
    6 (12)2.13.9532 KB inst.
    32 KB data
    per core
    512 KB
    per core
    8 MB GCN 5 384:24:8
    6 CU
    1.51152FP620
    (8+4+4+4)
    PCIe 3.0
    DDR4-3200
    dual-channel

    LPDDR4X-4266
    quad-channel
    10–25 W
    V2546 [47] 3.03.9535–54 W
    V2718 [47] 8 (16)1.74.15448:28:8
    7 CU
    1.61433.610–25 W
    V2748 [47] 2.94.2535–54 W
    1. Unified Shaders  : Texture Mapping Units  : Render Output Units and Compute Units (CU)
    2. Single-precision performance is calculated from the base (or boost) core clock speed based on a FMA operation.

    Server CPUs

    Common features of these CPUs:

    ModelRelease
    date
    Price
    (USD)
    Fab Chiplets Cores
    (threads)
    Core
    config [lower-roman 1]
    Clock rate (GHz) Cache Socket
    &
    Scaling
    TDP
    BaseBoost L1 L2 L3
    EPYC 7232PAugust 7,
    2019
    $450 TSMC
    7FF
    2 × CCD
    1 × I/OD
    8 (16)4 × 23.13.232 KB inst.
    32 KB data
    (per core)
    512 KB
    (per core)
    32 MB
    (8 MB per CCX)
    SP3
    1P
    120 W
    EPYC 7302P$8254 × CCD
    1 × I/OD
    16 (32)8 × 233.3128 MB
    (16 MB per CCX)
    155 W
    EPYC 7402P$125024 (48)8 × 32.83.35180 W
    EPYC 7502P$230032 (64)8 × 42.53.35
    EPYC 7702P$44258 × CCD
    1 × I/OD
    64 (128)16 × 423.35256 MB
    (16 MB per CCX)
    200 W
    EPYC 7252$4752 × CCD
    1 × I/OD
    8 (16)4 × 23.13.264 MB
    (16 MB per CCX)
    SP3
    (up to) 2P
    120 W
    EPYC 7262$5754 × CCD
    1 × I/OD
    8 × 13.23.4128 MB
    (16 MB per CCX)
    155 W
    EPYC 7272$6252 × CCD
    1 × I/OD
    12 (24)4 × 32.93.264 MB
    (16 MB per CCX)
    120 W
    EPYC 7282$65016 (32)4 × 42.83.2
    EPYC 7302$9784 × CCD
    1 × I/OD
    8 × 233.3128 MB
    (16 MB per CCX)
    155 W
    EPYC 7352$135024 (48)8 × 32.33.2
    EPYC 7402$17838 × 32.83.35180 W
    EPYC 7452$202532 (64)8 × 42.353.35155 W
    EPYC 7502$26008 × 42.53.35180 W
    EPYC 7532$33508 × CCD
    1 × I/OD
    16 × 22.43.3256 MB
    (16 MB per CCX)
    200 W
    EPYC 7542$34004 × CCD
    1 × I/OD
    8 × 42.93.4128 MB
    (16 MB per CCX)
    225 W
    EPYC 7552$40256 × CCD
    1 × I/OD
    48 (96)12 × 42.23.3192 MB
    (16 MB per CCX)
    200 W
    EPYC 7642$47758 × CCD
    1 × I/OD
    16 × 32.33.3256 MB
    (16 MB per CCX)
    225 W
    EPYC 7662$615064 (128)16 × 423.3225 W
    EPYC 7702$645023.35200 W
    EPYC 7742$69502.253.4225 W
    EPYC 7H12September 18, 20192.63.3280 W
    EPYC 7F32April 14, 2020 [49] $21004 × CCD
    1 × I/OD
    8 (16)8 × 13.73.9128 MB
    (16 MB per CCX)
    180 W
    EPYC 7F52$31008 × CCD
    1 × I/OD
    16 (32)16 × 13.53.9256 MB
    (16 MB per CCX)
    240 W
    EPYC 7F72$24506 × CCD
    1 × I/OD
    24 (48)12 × 23.23.7192 MB
    (16 MB per CCX)
    240 W
    1. Core Complexes (CCX) × cores per CCX

    Video game consoles and other embedded

    See also

    Related Research Articles

    <span class="mw-page-title-main">Multi-chip module</span> Electronic assembly containing multiple integrated circuits that behaves as a unit

    A multi-chip module (MCM) is generically an electronic assembly where multiple integrated circuits, semiconductor dies and/or other discrete components are integrated, usually onto a unifying substrate, so that in use it can be treated as if it were a larger IC. Other terms for MCM packaging include "heterogeneous integration" or "hybrid integrated circuit". The advantage of using MCM packaging is it allows a manufacturer to use multiple components for modularity and/or to improve yields over a conventional monolithic IC approach.

    <span class="mw-page-title-main">AMD APU</span> Marketing term by AMD

    AMD Accelerated Processing Unit (APU), formerly known as Fusion, is a series of 64-bit microprocessors from Advanced Micro Devices (AMD), combining a general-purpose AMD64 central processing unit (CPU) and 3D integrated graphics processing unit (IGPU) on a single die.

    Zen is the codename for a family of computer processor microarchitectures from AMD, first launched in February 2017 with the first generation of its Ryzen CPUs. It is used in Ryzen, Ryzen Threadripper, and Epyc (server).

    <span class="mw-page-title-main">Zen (first generation)</span> 2017 AMD 14-nanometre processor microarchitecture

    Zen is the codename for the first iteration in a family of computer processor microarchitectures of the same name from AMD. It was first used with their Ryzen series of CPUs in February 2017. The first Zen-based preview system was demonstrated at E3 2016, and first substantially detailed at an event hosted a block away from the Intel Developer Forum 2016. The first Zen-based CPUs, codenamed "Summit Ridge", reached the market in early March 2017, Zen-derived Epyc server processors launched in June 2017 and Zen-based APUs arrived in November 2017.

    <span class="mw-page-title-main">Ryzen</span> AMD brand for microprocessors

    Ryzen is a brand of multi-core x86-64 microprocessors designed and marketed by AMD for desktop, mobile, server, and embedded platforms based on the Zen microarchitecture. It consists of central processing units (CPUs) marketed for mainstream, enthusiast, server, and workstation segments and accelerated processing units (APUs) marketed for mainstream and entry-level segments and embedded systems applications.

    Zen+ is the codename for a computer processor microarchitecture by AMD. It is the successor to the first gen Zen microarchitecture, and was first released in April 2018, powering the second generation of Ryzen processors, known as Ryzen 2000 for mainstream desktop systems, Threadripper 2000 for high-end desktop setups and Ryzen 3000G for accelerated processing units (APUs).

    <span class="mw-page-title-main">Epyc</span> AMD brand for server microprocessors

    Epyc is a brand of multi-core x86-64 microprocessors designed and sold by AMD, based on the company's Zen microarchitecture. Introduced in June 2017, they are specifically targeted for the server and embedded system markets. Epyc processors share the same microarchitecture as their regular desktop-grade counterparts, but have enterprise-grade features such as higher core counts, more PCI Express lanes, support for larger amounts of RAM, and larger cache memory. They also support multi-chip and dual-socket system configurations by using the Infinity Fabric interconnect.

    The Radeon RX Vega series is a series of graphics processors developed by AMD. These GPUs use the Graphics Core Next (GCN) 5th generation architecture, codenamed Vega, and are manufactured on 14 nm FinFET technology, developed by Samsung Electronics and licensed to GlobalFoundries. The series consists of desktop graphics cards and APUs aimed at desktops, mobile devices, and embedded applications.

    <span class="mw-page-title-main">Socket SP3</span> CPU socket for AMD CPUs

    Socket SP3 is a zero insertion force land grid array CPU socket designed by AMD supporting its Zen-, Zen 2- and Zen 3-based Epyc server processors, launched on June 20, 2017. Because the socket is the same size as socket TR4 and socket sTRX4, users can use CPU coolers not only designed for SP3, but also coolers designed for TR4 and sTRX4.

    <span class="mw-page-title-main">Socket TR4</span> CPU socket for HEDT AMD CPUs

    Socket TR4, also known as Socket SP3r2, is a zero insertion force land grid array (LGA) CPU socket designed by AMD supporting its first- and second-generation Zen-based Ryzen Threadripper desktop processors, launched on August 10, 2017 for the high-end desktop and workstation platforms. It was succeeded by Socket sTRX4 for the third generation of Ryzen Threadripper processors.

    <span class="mw-page-title-main">RDNA (microarchitecture)</span> GPU microarchitecture and accompanying instruction set architecture

    RDNA is a graphics processing unit (GPU) microarchitecture and accompanying instruction set architecture developed by AMD. It is the successor to their Graphics Core Next (GCN) microarchitecture/instruction set. The first product lineup featuring RDNA was the Radeon RX 5000 series of video cards, launched on July 7, 2019. The architecture is also used in mobile products. It is manufactured and fabricated with TSMC's N7 FinFET graphics chips used in the Navi series of AMD Radeon graphics cards.

    <span class="mw-page-title-main">Zen 4</span> 2022 AMD 5-nanometer processor microarchitecture

    Zen 4 is the codename for a CPU microarchitecture designed by AMD, released on September 27, 2022. It is the successor to Zen 3 and uses TSMC's N5 process for CCDs. Zen 4 powers Ryzen 7000 mainstream desktop processors and is used in high-end mobile processors, thin & light mobile processors, as well as EPYC 9004 server processors.

    <span class="mw-page-title-main">Zen 3</span> 2020 AMD 7-nanometer processor microarchitecture

    Zen 3 is the codename for a CPU microarchitecture by AMD, released on November 5, 2020. It is the successor to Zen 2 and uses TSMC's 7 nm process for the chiplets and GlobalFoundries's 14 nm process for the I/O die on the server chips and 12 nm for desktop chips. Zen 3 powers Ryzen 5000 mainstream desktop processors and Epyc server processors. Zen 3 is supported on motherboards with 500 series chipsets; 400 series boards also saw support on select B450 / X470 motherboards with certain BIOSes. Zen 3 is the last microarchitecture before AMD switched to DDR5 memory and new sockets, which are AM5 for the desktop "Ryzen" chips alongside SP5 and SP6 for the EPYC server platform. According to AMD, Zen 3 has a 19% higher instructions per cycle (IPC) on average than Zen 2.

    References

    1. "AMD Unleashes Ultimate PC Gaming Platform with Worldwide Availability of AMD Radeon RX 5700 Series Graphics Cards and AMD Ryzen 3000 Series Desktop Processors". AMD (Press release). Santa Clara, California. 7 July 2019. Retrieved 7 November 2020.
    2. Larabel, Michael (16 May 2017). "AMD Talks Up Vega Frontier Edition, Epyc, Zen 2, ThreadRipper". Phoronix. Retrieved 16 May 2017.
    3. 1 2 Cutress, Ian (20 June 2017). "AMD EPYC Launch Event Live Blog". AnandTech. Retrieved 21 June 2017.
    4. Boshor, Gavin (20 September 2022). "AMD Launches Mendocino APUs: Zen 2-based Ryzen and Athlon 7020 Series with RDNA 2 Graphics". AnandTech. Retrieved 26 September 2022.
    5. 1 2 Cutress, Ian (9 January 2019). "AMD Ryzen third Gen 'Matisse' Coming Mid 2019: Eight Core Zen 2 with PCIe 4.0 on Desktop". AnandTech. Retrieved 15 January 2019.
    6. online, heise. "AMD Ryzen 3000: 12-Kernprozessoren für den Mainstream". c't Magazin.
    7. 1 2 Leather, Antony (7 July 2019). "AMD Ryzen 9 3900X and Ryzen 7 3700X Review: Old Ryzen Owners Look Away Now". Forbes. Retrieved 13 April 2023.
    8. Ridley, Jacob (27 May 2019). "AMD Ryzen 3000 CPUs launching July 7 with up to 12 cores". PCGamesN. Retrieved 28 May 2019.
    9. 1 2 "2nd Gen AMD EPYC Processors Set New Standard for the Modern Datacenter with Record-Breaking Performance and Significant TCO Savings". AMD. 7 August 2019. Retrieved 8 August 2019.
    10. Hachman, Mark (9 January 2019). "AMD's CEO Lisa Su confirms ray tracing GPU development, hints at more 3rd-gen Ryzen cores". PCWorld. Retrieved 15 January 2019.
    11. Curtress, Ian (26 May 2019). "AMD Ryzen 3000 Announced: Five CPUs, 12 Cores for $499, Up to 4.6 GHz, PCIe 4.0, Coming 7/7". AnandTech. Retrieved 3 July 2019.
    12. Thomas, Bill (10 June 2019). "AMD announces the Ryzen 9 3950X, a 16-core mainstream processor". TechRadar. Retrieved 3 July 2019.
    13. Alcorn, Paul (31 January 2018). "AMD Predicts Double-Digit Revenue Growth In 2018, Ramps Up GPU Production". Tom's Hardware. Retrieved 31 January 2018.
    14. 1 2 Shilov, Anton (6 November 2018). "AMD Unveils 'Chiplet' Design Approach: 7nm Zen 2 Cores Meet 14 nm I/O Die". AnandTech. Retrieved 13 April 2023.
    15. Cutress, Ian (10 June 2019). "AMD Zen 2 Microarchitecture Analysis: Ryzen 3000 and EPYC Rome". AnandTech. Retrieved 13 April 2023.
    16. Walton, Steven (16 November 2020). "AMD Ryzen 5000 IPC Performance Tested". TechSpot. Retrieved 18 April 2021.
    17. Hollister, Sean (13 November 2021). "Steam Deck: Five big things we learned from Valve's developer summit". The Verge. Retrieved 13 April 2023.
    18. "Steam Deck :: Tech Specs".
    19. Warren, Tom (24 February 2020). "Microsoft reveals more Xbox Series X specs, confirms 12 teraflops GPU". The Verge. Retrieved 24 February 2020.
    20. Leadbetter, Richard (18 March 2020). "Inside PlayStation 5: the specs and the tech that deliver Sony's next-gen vision". Eurogamer. Retrieved 18 March 2020.
    21. 1 2 3 Cutress, Ian (10 June 2019). "AMD Zen 2 Microarchitecture Analysis: Ryzen 3000 and EPYC Rome". AnandTech. p. 1. Retrieved 17 June 2019.
    22. De Gelas, Johan (7 August 2019). "AMD Rome Second Generation EPYC Review: 2x 64-core Benchmarked". AnandTech. Retrieved 29 September 2019.
    23. November 2019, Paul Alcorn 21 (21 November 2019). "AMD Ryzen 9 3900X and Ryzen 7 3700X Review: Zen 2 and 7nm Unleashed". Tom's Hardware.
    24. Cutress, Ian (10 June 2019). "AMD Zen 2 Microarchitecture Analysis: Ryzen 3000 and EPYC Rome". AnandTech. Retrieved 17 June 2019.
    25. Cutress, Ian (10 June 2019). "AMD Zen 2 Microarchitecture Analysis: Ryzen 3000 and EPYC Rome". AnandTech. Retrieved 17 June 2019.
    26. Schor, David (22 July 2018). "VLSI 2018: GlobalFoundries 12nm Leading-Performance, 12LP".
    27. Mujtaba, Hassan (24 December 2019). "AMD Ryzen Threadripper 3970X Is An Absolutely Efficient Monster CPU".
    28. "AMD Zen 2 CPUs Come With A Few New Instructions - At Least WBNOINVD, CLWB, RDPID - Phoronix". www.phoronix.com.
    29. "GNU Binutils Adds Bits For AMD Zen 2's RDPRU + MCOMMIT Instructions - Phoronix". www.phoronix.com.
    30. btarunr (12 June 2019). "AMD Zen 2 has Hardware Mitigation for Spectre V4". TechPowerUp. Retrieved 18 October 2019.
    31. Agner, Fog. "Surprising new feature in AMD Ryzen 3000". Agner's CPU blog.
    32. Cutress, Ian (10 June 2019). "AMD Zen 2 Microarchitecture Analysis: Ryzen 3000 and EPYC Rome". AnandTech. Retrieved 12 January 2023.
    33. Cutress, Ian (26 May 2019). "AMD Ryzen 3000 Announced: Five CPUs, 12 Cores for $499, Up to 4.6 GHz, PCIe 4.0, Coming 7/7". AnandTech. Retrieved 17 June 2019.
    34. 1 2 Alcorn, Paul (14 November 2019). "Tom's Hardware Ryzen 9 3950X review". Tom's Hardware. Retrieved 12 May 2020.
    35. Cutress, Ian (8 October 2019). "AMD Brings Ryzen 9 3900 and Ryzen 5 3500X To Life". AnandTech.
    36. Syed, Areej (17 February 2020). "AMD Launches Ryzen 5 3500 in Japan with 6 Cores/6 Threads for 16K Yen". Hardware Times.
    37. Hill, Luke (7 February 2020). "Kitguru AMD Ryzen Threadripper 3990X CPU Review". KitGuru. Retrieved 12 May 2020.
    38. Leadbetter, Richard; Judd, Will (30 July 2023). "AMD 4800S Desktop Kit review: playing PC games on the Xbox Series X CPU". Eurogamer. Retrieved 20 September 2023.
    39. WhyCry (31 July 2023). "AMD 4800S Desktop Kit, a PC repurposed APU from Xbox Series X has been tested". VideoCardz. Retrieved 20 September 2023.
    40. Alcorn, Paul (10 October 2021). "AMD 4700S Review: Defective PlayStation 5 Chips Resurrected". Tom's Hardware. Retrieved 7 July 2023.
    41. "AMD Ryzen 7 4800H Specs". TechPowerUp. Retrieved 17 September 2021.
    42. "AMD Ryzen 5 4600H Specs". TechPowerUp. Retrieved 17 September 2021.
    43. "AMD Ryzen 5 4600HS". AMD.[ dead link ]
    44. "AMD Ryzen 5 5500U Specs". TechPowerUp. Retrieved 17 September 2021.
    45. "AMD Details 7020 Series Ryzen and Athlon 'Mendocino' Mobile APUs". Tom's Hardware. 20 September 2022.
    46. "AMD Ryzen 7020 Series Processors for Mobile Bring High-End Performance and Long Battery Life to Everyday Users". 20 September 2022. Retrieved 21 September 2022.
    47. 1 2 3 4 "Product Brief: AMD Ryzen Embedded V2000 Processor Family" (PDF). AMD.
    48. "AMD Unveils AMD Ryzen Embedded V2000 Processors with Enhanced Performance and Power Efficiency". AMD.
    49. "New 2nd Gen AMD EPYC™ Processors Redefine Performance for Database, Commercial HPC and Hyperconverged Workloads". AMD. 14 April 2020.
    50. "AMD 4700S 8-Core Processor Desktop Kit". AMD. Retrieved 26 September 2022.