Excavator (microarchitecture)

Last updated
Excavator – Family 15h (4th-gen)
General information
LaunchedJune 2, 2015;8 years ago (June 2, 2015) [1]
Common manufacturer(s)
Architecture and classification
Technology node 28 nm bulk silicon (GF28A) [2]
Instruction set AMD64 (x86-64)
Physical specifications
Socket(s)
Products, models, variants
Core name(s)
  • Carrizo
  • Bristol Ridge
  • Stoney Ridge
History
Predecessor(s) Steamroller – Family 15h (3rd-gen)
Successor(s) Zen
Support status
iGPU unsupported

AMD Excavator Family 15h is a microarchitecture developed by AMD to succeed Steamroller Family 15h for use in AMD APU processors and normal CPUs. On October 12, 2011, AMD revealed Excavator to be the code name for the fourth-generation Bulldozer-derived core.

Contents

The Excavator-based APU for mainstream applications is called Carrizo and was released in 2015. [3] [4] The Carrizo APU is designed to be HSA 1.0 compliant. [5] An Excavator-based APU and CPU variant named Toronto for server and enterprise markets was also produced. [6]

Excavator was the final revision of the "Bulldozer" family, with two new microarchitectures replacing Excavator a year later. [7] [8] Excavator was succeeded by the x86-64 Zen architecture in early 2017. [9] [10]

Architecture

Excavator added hardware support for new instructions such as AVX2, BMI2 and RDRAND. [11] Excavator is designed using High Density (aka "Thin") Libraries normally used for GPUs to reduce electric energy consumption and die size, delivering a 30 percent increase in efficient energy use. [12] Excavator can process up to 15% more instructions per clock compared to AMD's previous core Steamroller. [13]

Features and ASICs

The following table shows features of AMD's processors with 3D graphics, including APUs (see also: List of AMD processors with 3D graphics).

PlatformHigh, standard and low powerLow and ultra-low power
CodenameServerBasic Toronto
Micro Kyoto
DesktopPerformance Raphael
Mainstream Llano Trinity Richland Kaveri Kaveri Refresh (Godavari) Carrizo Bristol Ridge Raven Ridge Picasso Renoir Cezanne
Entry
Basic Kabini Dalí
MobilePerformance Renoir Cezanne Rembrandt Dragon Range
Mainstream Llano Trinity Richland Kaveri Carrizo Bristol Ridge Raven Ridge Picasso Renoir
Lucienne
Cezanne
Barceló
Phoenix
Entry Dalí Mendocino
Basic Desna, Ontario, Zacate Kabini, Temash Beema, Mullins Carrizo-L Stoney Ridge Pollock
Embedded Trinity Bald Eagle Merlin Falcon,
Brown Falcon
Great Horned Owl Grey Hawk Ontario, Zacate Kabini Steppe Eagle, Crowned Eagle,
LX-Family
Prairie Falcon Banded Kestrel River Hawk
ReleasedAug 2011Oct 2012Jun 2013Jan 20142015Jun 2015Jun 2016Oct 2017Jan 2019Mar 2020Jan 2021Jan 2022Sep 2022Jan 2023Jan 2011May 2013Apr 2014May 2015Feb 2016Apr 2019Jul 2020Jun 2022Nov 2022
CPU microarchitecture K10 Piledriver Steamroller Excavator "Excavator+" [14] Zen Zen+ Zen 2 Zen 3 Zen 3+ Zen 4 Bobcat Jaguar Puma Puma+ [15] "Excavator+" Zen Zen+ "Zen 2+"
ISA x86-64 v1 x86-64 v2 x86-64 v3 x86-64 v4 x86-64 v1 x86-64 v2 x86-64 v3
Socket DesktopPerformance AM5
Mainstream AM4
Entry FM1 FM2 FM2+ FM2+ [lower-alpha 1] , AM4 AM4
Basic AM1 FP5
Other FS1 FS1+, FP2 FP3 FP4 FP5 FP6 FP7 FL1FP7
FP7r2
FP8
? FT1 FT3 FT3b FP4 FP5 FT5 FP5 FT6
PCI Express version2.03.04.05.04.02.03.0
CXL
Fab. (nm) GF 32SHP
(HKMG SOI)
GF 28SHP
(HKMG bulk)
GF 14LPP
(FinFET bulk)
GF 12LP
(FinFET bulk)
TSMC N7
(FinFET bulk)
TSMC N6
(FinFET bulk)
CCD: TSMC N5
(FinFET bulk)

cIOD: TSMC N6
(FinFET bulk)
TSMC 4nm
(FinFET bulk)
TSMC N40
(bulk)
TSMC N28
(HKMG bulk)
GF 28SHP
(HKMG bulk)
GF 14LPP
(FinFET bulk)
GF 12LP
(FinFET bulk)
TSMC N6
(FinFET bulk)
Die area (mm2)228246245245250210 [16] 156180210CCD: (2x) 70
cIOD: 122
17875 (+ 28 FCH)107?125149~100
Min TDP (W)3517121015105354.543.95106128
Max APU TDP (W)10095654517054182565415
Max stock APU base clock (GHz)33.84.14.13.73.83.63.73.84.03.34.74.31.752.222.23.22.61.23.352.8
Max APUs per node [lower-alpha 2] 11
Max core dies per CPU1211
Max CCX per core die1211
Max cores per CCX482424
Max CPU [lower-alpha 3] cores per APU481682424
Max threads per CPU core1212
Integer pipeline structure3+32+24+24+2+11+3+3+1+21+1+1+12+24+24+2+1
i386, i486, i586, CMOV, NOPL, i686, PAE, NX bit, CMPXCHG16B, AMD-V, RVI, ABM, and 64-bit LAHF/SAHFYes check.svgYes check.svg
IOMMU [lower-alpha 4] v2v1v2
BMI1, AES-NI, CLMUL, and F16C Yes check.svgYes check.svg
MOVBEYes check.svg
AVIC, BMI2, RDRAND, and MWAITX/MONITORXYes check.svg
SME [lower-alpha 5] , TSME [lower-alpha 5] , ADX, SHA, RDSEED, SMAP, SMEP, XSAVEC, XSAVES, XRSTORS, CLFLUSHOPT, CLZERO, and PTE CoalescingYes check.svgYes check.svg
GMET, WBNOINVD, CLWB, QOS, PQE-BW, RDPID, RDPRU, and MCOMMITYes check.svgYes check.svg
MPK, VAES Yes check.svg
SGX
FPUs per core 10.5110.51
Pipes per FPU22
FPU pipe width128-bit256-bit80-bit128-bit256-bit
CPU instruction set SIMD level SSE4a [lower-alpha 6] AVX AVX2 AVX-512 SSSE3 AVX AVX2
3DNow! 3DNow!+
PREFETCH/PREFETCHW Yes check.svgYes check.svg
GFNI Yes check.svg
AMX
FMA4, LWP, TBM, and XOP Yes check.svgYes check.svg
FMA3 Yes check.svgYes check.svg
AMD XDNA Yes check.svg
L1 data cache per core (KiB)64163232
L1 data cache associativity (ways)2488
L1 instruction caches per core 10.5110.51
Max APU total L1 instruction cache (KiB)2561281922565122566412896128
L1 instruction cache associativity (ways)23482348
L2 caches per core 10.5110.51
Max APU total L2 cache (MiB)424161212
L2 cache associativity (ways)168168
Max on--die L3 cache per CCX (MiB)416324
Max 3D V-Cache per CCD (MiB)64
Max total in-CCD L3 cache per APU (MiB)4816644
Max. total 3D V-Cache per APU (MiB)64
Max. board L3 cache per APU (MiB)
Max total L3 cache per APU (MiB)48161284
APU L3 cache associativity (ways)1616
L3 cache scheme Victim Victim
Max. L4 cache
Max stock DRAM support DDR3-1866DDR3-2133DDR3-2133, DDR4-2400DDR4-2400DDR4-2933DDR4-3200, LPDDR4-4266 DDR5-4800, LPDDR5-6400 DDR5-5200 DDR5-5600, LPDDR5x-7500 DDR3L-1333DDR3L-1600DDR3L-1866DDR3-1866, DDR4-2400DDR4-2400DDR4-1600DDR4-3200LPDDR5-5500
Max DRAM channels per APU21212
Max stock DRAM bandwidth (GB/s) per APU29.86634.13238.40046.93268.256102.40083.200120.00010.66612.80014.93319.20038.40012.80051.20088.000
GPU microarchitecture TeraScale 2 (VLIW5) TeraScale 3 (VLIW4) GCN 2nd gen GCN 3rd gen GCN 5th gen [17] RDNA 2 RDNA 3 TeraScale 2 (VLIW5) GCN 2nd gen GCN 3rd gen [17] GCN 5th gen RDNA 2
GPU instruction set TeraScale instruction set GCN instruction set RDNA instruction set TeraScale instruction set GCN instruction set RDNA instruction set
Max stock GPU base clock (MHz)60080084486611081250140021002400400538600?847900120060013001900
Max stock GPU base GFLOPS [lower-alpha 7] 480614.4648.1886.71134.517601971.22150.43686.4102.486???345.6460.8230.41331.2486.4
3D engine [lower-alpha 8] Up to 400:20:8Up to 384:24:6Up to 512:32:8Up to 704:44:16 [18] Up to 512:32:8768:48:8128:8:480:8:4128:8:4Up to 192:12:8Up to 192:12:4192:12:4Up to 512:?:?128:?:?
IOMMUv1 IOMMUv2 IOMMUv1?IOMMUv2
Video decoder UVD 3.0 UVD 4.2 UVD 6.0 VCN 1.0 [19] VCN 2.1 [20] VCN 2.2 [20] VCN 3.1? UVD 3.0 UVD 4.0 UVD 4.2 UVD 6.0 UVD 6.3 VCN 1.0 VCN 3.1
Video encoder VCE 1.0 VCE 2.0 VCE 3.1 VCE 2.0 VCE 3.1
AMD Fluid MotionDark Red x.svgYes check.svgDark Red x.svgDark Red x.svgYes check.svgDark Red x.svg
GPU power saving PowerPlay PowerTune PowerPlay PowerTune [21]
TrueAudio Yes check.svg [22] ?Yes check.svg
FreeSync 1
2
1
2
HDCP [lower-alpha 9] ?1.42.22.3?1.42.22.3
PlayReady [lower-alpha 9] 3.0 not yet3.0 not yet
Supported displays [lower-alpha 10] 2–32–433 (desktop)
4 (mobile, embedded)
42344
/drm/radeon [lower-alpha 11] [24] [25] Yes check.svgYes check.svg
/drm/amdgpu [lower-alpha 11] [26] Yes check.svg [27] Yes check.svg [27]
  1. For FM2+ Excavator models: A8-7680, A6-7480 & Athlon X4 845.
  2. A PC would be one node.
  3. An APU combines a CPU and a GPU. Both have cores.
  4. Requires firmware support.
  5. 1 2 Requires firmware support.
  6. No SSE4. No SSSE3.
  7. Single-precision performance is calculated from the base (or boost) core clock speed based on a FMA operation.
  8. Unified shaders  : texture mapping units  : render output units
  9. 1 2 To play protected video content, it also requires card, operating system, driver, and application support. A compatible HDCP display is also needed for this. HDCP is mandatory for the output of certain audio formats, placing additional constraints on the multimedia setup.
  10. To feed more than two displays, the additional panels must have native DisplayPort support. [23] Alternatively active DisplayPort-to-DVI/HDMI/VGA adapters can be employed.
  11. 1 2 DRM (Direct Rendering Manager) is a component of the Linux kernel. Support in this table refers to the most current version.

Processors

APU lines

There are three APU lines announced or released:

  1. Budget and mainstream markets (desktop and mobile): Carrizo APU
    • The Carrizo mobile APUs were launched in 2015 based on Excavator x86 cores and featuring Heterogeneous System Architecture for integrated task sharing between CPUs and GPUs, which allows a GPU to perform compute functions, which is claimed provide greater performance increases than shrinking the feature size alone. [5]
    • Carrizo desktop APUs were launched in 2018. The mainstream product (A8-7680) has 4 Excavator cores and a GPU based on GCN1.2 architecture. Also, an entry-level APU (A6-7480) with 2 Excavator cores is also launched.
  2. Budget and mainstream markets (desktop and mobile): Bristol Ridge, and Stoney Ridge (for entry level notebooks), APUs [28]
    • Bristol Ridge APUs utilize socket AM4 and DDR4 RAM
    • Bristol Ridge APUs have up to 4 Excavator CPU cores and up to 8 3rd generation GCN GPU cores
    • Up to a 20% CPU performance increase over Carrizo
    • TDP of 15W to 65W, 15–35W for mobile
  3. Enterprise and server markets: Toronto APU
    • The Toronto APU for server and enterprise markets featured four x86 Excavator CPU core modules and Volcanic Islands integrated GPU core.
    • The Excavator cores has a greater advantage with IPC than Steamroller. The improvement is 4–15%.
    • Support for HSA/hUMA, DDR3/DDR4, PCIe 3.0, GCN 1.2 [5] [6] [10]
    • The Toronto APU was available in BGA and SoC variants. The SoC variant had the southbridge on the same die as the APU to save space and power and to optimize workloads.
    • A complete system with a Toronto APU would have a maximum power usage of 70 W. [6]

CPU Desktop lines

There are no CPUs built on Steamroller (3rd gen Bulldozer) or Excavator (4th gen Bulldozer) architectures on high-end desktop platforms.

Excavator CPU for Desktop announced on 2nd Feb 2016, named Athlon X4 845. [29] In 2017, three more desktop CPUs (Athlon X4 9x0) were launched. They come in Socket AM4, with a TDP of 65W. In fact, they are APUs with their graphics cores disabled.

List of desktop Excavator CPUs
Brand

Name

Model

Number

Code

Name

Freq. (GHz)CoresTDP

(W)

SocketCachePCI Express 3.0Relative IPC Locked
BaseTurboL1DL2
Athlon X4845Carrizo3.53.8465 FM2+ 4x

32KB

2x

1MB

x81.0Yes
940Bristol Ridge3.23.6 AM4 x161.1No
9503.53.8
9703.84.0

Server lines

The AMD Opteron roadmaps for 2015 show the Excavator-based Toronto APU and Toronto CPU intended for 1 Processor (1P) cluster applications: [6]

Related Research Articles

<span class="mw-page-title-main">AMD</span> American semiconductor company

Advanced Micro Devices, Inc., commonly abbreviated as AMD, is an American multinational semiconductor company based in Santa Clara, California, that develops computer processors and related technologies for business and consumer markets.

<span class="mw-page-title-main">AMD APU</span> Marketing term by AMD

AMD Accelerated Processing Unit (APU), formerly known as Fusion, is a series of 64-bit microprocessors from Advanced Micro Devices (AMD), combining a general-purpose AMD64 central processing unit (CPU) and 3D integrated graphics processing unit (IGPU) on a single die.

<span class="mw-page-title-main">Socket FS1</span> CPU socket for laptop AMD CPUs

The Socket FS1 is for notebooks using AMD APU processors codenamed Llano, Trinity and Richland.

<span class="mw-page-title-main">Socket FM1</span> CPU socket for AMD CPUs

Socket FM1 is a CPU socket for desktop computers used by AMD early A-series APUs ("Llano") processors and Llano-derived Athlon II processors. It was released in July 2011. Its direct successors are Socket FM2 and Socket FM2+, while Socket AM1 is targeting low-power SoCs.

<span class="mw-page-title-main">Socket FM2</span> CPU socket for AMD CPUs

Socket FM2 is a CPU socket used by AMD's desktop Trinity and Richland APUs to connect to the motherboard as well as Athlon X2 and Athlon X4 processors based on them. FM2 was launched on September 27, 2012. Motherboards which feature the at the time new FM2 CPU socket also utilize AMD's at the time new A85X chipset.

AMD Steamroller Family 15h is a microarchitecture developed by AMD for AMD APUs, which succeeded Piledriver in the beginning of 2014 as the third-generation Bulldozer-based microarchitecture. Steamroller APUs continue to use two-core modules as their predecessors, while aiming at achieving greater levels of parallelism.

<span class="mw-page-title-main">Socket FM2+</span> CPU socket for laptop AMD CPUs

Socket FM2+ is a zero insertion force CPU socket designed by AMD for their desktop "Kaveri" APUs (Steamroller-based) and Godavari APUs (Steamroller-based) to connect to the motherboard. The FM2+ has a slightly different pin configuration to Socket FM2 with two additional pin sockets. Socket FM2+ APUs are not compatible with Socket FM2 motherboards due to the aforementioned additional pins. However, socket FM2 APUs such as "Richland" and "Trinity" are compatible with the FM2+ socket.

AMD's Socket FT3 or BGA-769 targets mobile devices and was designed for APUs codenamed Kabini and Temash, Beema and Mullins.

The Socket FT1 or BGA413 is a CPU socket released in January 2011 from AMD for its APUs codenamed Desna, Ontario, Zacate and Hondo. The uber name is "Brazos".

The Socket FP2 or μBGA-827 is a CPU socket for notebooks that was released in May 2012 by AMD with its APU processors codenamed Trinity and Richland.

The Socket FP3 or μBGA906 is a CPU socket for laptops that was released in June 2014 by AMD with its mobility APU products codenamed Kaveri.

<span class="mw-page-title-main">AMD PowerTune</span> Brand name by AMD

AMD PowerTune is a series of dynamic frequency scaling technologies built into some AMD GPUs and APUs that allow the clock speed of the processor to be dynamically changed by software. This allows the processor to meet the instantaneous performance needs of the operation being performed, while minimizing power draw, heat generation and noise avoidance. AMD PowerTune aims to solve thermal design power and performance constraints.

<span class="mw-page-title-main">Zen (first generation)</span> 2017 AMD 14-nanometre processor microarchitecture

Zen is the codename for the first iteration in a family of computer processor microarchitectures of the same name from AMD. It was first used with their Ryzen series of CPUs in February 2017. The first Zen-based preview system was demonstrated at E3 2016, and first substantially detailed at an event hosted a block away from the Intel Developer Forum 2016. The first Zen-based CPUs, codenamed "Summit Ridge", reached the market in early March 2017, Zen-derived Epyc server processors launched in June 2017 and Zen-based APUs arrived in November 2017.

<span class="mw-page-title-main">Socket AM4</span> CPU socket for AMD processors with Zen and Excavator architectures

Socket AM4 is a PGA microprocessor socket used by AMD's central processing units (CPUs) built on the Zen and Excavator microarchitectures.

AMD Athlon X4 is a series of budget AMD microprocessors for personal computers. These processors are distinct from A-Series APUs of the same era due to the lack of iGPUs.

<span class="mw-page-title-main">Ryzen</span> AMD brand for microprocessors

Ryzen is a brand of multi-core x86-64 microprocessors designed and marketed by AMD for desktop, mobile, server, and embedded platforms based on the Zen microarchitecture. It consists of central processing units (CPUs) marketed for mainstream, enthusiast, server, and workstation segments and accelerated processing units (APUs) marketed for mainstream and entry-level segments and embedded systems applications.

Zen+ is the codename for a computer processor microarchitecture by AMD. It is the successor to the first gen Zen microarchitecture, and was first released in April 2018, powering the second generation of Ryzen processors, known as Ryzen 2000 for mainstream desktop systems, Threadripper 2000 for high-end desktop setups and Ryzen 3000G for accelerated processing units (APUs).

References

  1. "Computex 2015: AMD launches Carrizo A-Series processors | ZDNet". www.zdnet.com.
  2. "AMD leak confirms that Excavator APU will be 28nm, and that some production is moving back to GlobalFoundries - ExtremeTech". www.extremetech.com.
  3. Reynolds, Sam (October 31, 2013). "New confirmed details on AMD's 2014 APU lineup, Kaveri delayed". Vr-zone.com. Archived from the original on January 25, 2014. Retrieved November 24, 2013.
  4. "AMD updates product roadmap for 2014 and 2015". Digitimes.com. August 26, 2013. Retrieved November 24, 2013.
  5. 1 2 3 Hachman, Mark (November 21, 2014). "AMD reveals high-end 'Carrizo' APU, the first chip to fully embrace audacious HSA tech". PCWorld. Retrieved January 15, 2015.
  6. 1 2 3 4 Mujtaba, Hassan (December 26, 2013). "AMD Opteron Roadmap Reveals Next Generation Toronto and Carrizo APU Details". WCCF Tech. Retrieved January 15, 2015.
  7. "AMD hints at high-performance Zen x86 architecture | bit-tech.net". bit-tech.net.
  8. "AMD to Introduce New High-Performance Micro-Architecture in 2015 – Report - X-bit labs". Archived from the original on 2014-05-13. Retrieved 2014-05-22.
  9. Moammer, Khalid (September 9, 2014). "AMD's Next Gen x86 High Performance Core is Zen". WCCF Tech. Retrieved January 15, 2015.
  10. 1 2 Mujtaba, Hassan (May 5, 2014). "AMD Announces 2014-2016 Roadmap – 20nm Project SkyBridge and K12 64-bit ARM Cores For 2016". WCCF Tech. Retrieved January 15, 2015.
  11. "AMDs Carrizo architecture detailed and explored". Extremetech.com. June 2, 2015. Retrieved March 3, 2019.
  12. Crowthers, Doug (August 28, 2012). "AMD Explains Advantages of High Density (Thin) Libraries". Tom's Hardware.
  13. Mujtaba, Hassan (August 26, 2015). "AMD Details Carrizo APUs Energy Efficient Design at Hot Chips 2015 - 28nm Bulk High Density Design With 3.1 Billion Transistors, 250mm2 Die".
  14. "AMD Announces the 7th Generation APU: Excavator mk2 in Bristol Ridge and Stoney Ridge for Notebooks". 31 May 2016. Retrieved 3 January 2020.
  15. "AMD Mobile "Carrizo" Family of APUs Designed to Deliver Significant Leap in Performance, Energy Efficiency in 2015" (Press release). 20 November 2014. Retrieved 16 February 2015.
  16. "The Mobile CPU Comparison Guide Rev. 13.0 Page 5 : AMD Mobile CPU Full List". TechARP.com. Retrieved 13 December 2017.
  17. 1 2 "AMD VEGA10 and VEGA11 GPUs spotted in OpenCL driver". VideoCardz.com. Retrieved 6 June 2017.
  18. Cutress, Ian (1 February 2018). "Zen Cores and Vega: Ryzen APUs for AM4 – AMD Tech Day at CES: 2018 Roadmap Revealed, with Ryzen APUs, Zen+ on 12nm, Vega on 7nm". Anandtech. Retrieved 7 February 2018.
  19. Larabel, Michael (17 November 2017). "Radeon VCN Encode Support Lands in Mesa 17.4 Git". Phoronix. Retrieved 20 November 2017.
  20. 1 2 "AMD Ryzen 5000G 'Cezanne' APU Gets First High-Res Die Shots, 10.7 Billion Transistors In A 180mm2 Package". wccftech. Aug 12, 2021. Retrieved August 25, 2021.
  21. Tony Chen; Jason Greaves, "AMD's Graphics Core Next (GCN) Architecture" (PDF), AMD, retrieved 13 August 2016
  22. "A technical look at AMD's Kaveri architecture". Semi Accurate. Retrieved 6 July 2014.
  23. "How do I connect three or More Monitors to an AMD Radeon™ HD 5000, HD 6000, and HD 7000 Series Graphics Card?". AMD. Retrieved 8 December 2014.
  24. Airlie, David (26 November 2009). "DisplayPort supported by KMS driver mainlined into Linux kernel 2.6.33" . Retrieved 16 January 2016.
  25. "Radeon feature matrix". freedesktop.org . Retrieved 10 January 2016.
  26. Deucher, Alexander (16 September 2015). "XDC2015: AMDGPU" (PDF). Retrieved 16 January 2016.
  27. 1 2 Michel Dänzer (17 November 2016). "[ANNOUNCE] xf86-video-amdgpu 1.2.0". lists.x.org.
  28. Cutress, Ian (1 June 2016). "AMD Announces 7th Generation APU". Anandtech.com. Retrieved 1 June 2016.
  29. Jeff Kampman (2 February 2016). "AMD puts Excavator on the desktop with the Athlon X4 845".