Epyc

Last updated

Epyc
AMD Epyc wordmark.svg
General information
LaunchedJune 20, 2017;6 years ago (2017-06-20)
Marketed by AMD
Designed by AMD
Common manufacturer(s)
Performance
Max. CPU clock rate 2.7 GHz to 4.4 GHz
Architecture and classification
Technology node 14 nm to 5 nm
Microarchitecture
Instruction set x86-64
Extensions
Physical specifications
Cores
  • up to 128 cores/256 threads per socket
Memory (RAM)
  • up to 12 memory channels at 4.8 GT/s
Socket(s)
Products, models, variants
Core name(s)
  • Naples
  • Rome
  • Milan
  • Genoa
  • Bergamo
  • Siena
Brand name(s)
  • Epyc
History
Predecessor(s) Opteron

Epyc (stylized as EPYC) is a brand of multi-core x86-64 microprocessors designed and sold by AMD, based on the company's Zen microarchitecture. Introduced in June 2017, they are specifically targeted for the server and embedded system markets. [1]

Contents

Epyc processors share the same microarchitecture as their regular desktop-grade counterparts, but have enterprise-grade features such as higher core counts, more PCI Express lanes, support for larger amounts of RAM, and larger cache memory. They also support multi-chip and dual-socket system configurations by using the Infinity Fabric interconnect.

History

In March 2017, AMD announced plans to re-enter the server market with a platform based on the Zen microarchitecture, codenamed Naples, and officially revealed it under the brand name Epyc in May. [2] That June AMD officially launched Epyc 7001 series processors, offering up to 32 cores per socket, and enabling performance that allowed Epyc to be competitive with the competing Intel Xeon Scalable product line. [3] Two years later, in August 2019, the Epyc 7002 "Rome" series processors, based on the Zen 2 microarchitecture, launched, doubling the core count per socket to 64, and increasing per-core performance dramatically over the last generation architecture.

In March 2021, AMD launched the Epyc 7003 "Milan" series, based on the Zen 3 microarchitecture. [4] Epyc Milan brought the same 64 cores as Epyc Rome, but with much higher per-core performance, with the Epyc 7763 beating the Epyc 7702 by up to 22 percent despite having the same number of cores and threads. [5] A refresh of the Epyc 7003 "Milan" series with 3D V-Cache, named Milan-X, launched on March 21, 2022, using the same cores as Epyc Milan, but with an additional 512 MB of cache stacked onto the compute dies, bringing the total amount of cache per CPU to 768 MB. [6]

In September 2021, Oak Ridge National Laboratory partnered with AMD and HPE Cray to build Frontier, a supercomputer with 9,472 Epyc 7453 CPUs and 37,888 Instinct MI250X GPUs, becoming operational by May 2022. As of November 2023, it is the most powerful supercomputer in the world according to the TOP500, with a peak performance of over 1.6 exaFLOPS.

In November 2021, AMD detailed the upcoming generations of Epyc, also unveiling the new LGA-6096 SP5 socket that would support the new generations of Epyc chips. Codenamed Genoa, the first Zen 4 based Epyc CPUs is built on TSMC's N5 node and supports up to 96 cores and 192 threads per socket, alongside 12 channels of DDR5, [7] 128 PCIe 5.0 lanes, and Compute Express Link 1.1. [8] AMD also shared information regarding the sister chip of Genoa, codenamed Bergamo. Bergamo is be based on a modified Zen 4 microarchitecture named Zen 4c, designed to allow for much higher core counts and efficiency at the cost of lower single-core performance, targeting cloud providers and workloads, compared to traditional high performance computing workloads. [9] It is compatible with Socket SP5, and supports up to 128 cores and 256 threads per socket. [10]

In November 2022, AMD launched their 4th generation Epyc lineup, codenamed Genoa. Some tech reviewers and customers had already received hardware for testing and benchmarking, and third party benchmarks of Genoa parts were immediately available. The flagship part, the 96 core Epyc 9654, set records for multi-core performance, and showed up to 4× performance compared to Intel's flagship part, the Xeon 8380. High memory bandwidth and extensive PCIe connectivity removed many bottlenecks, allowing all 96 cores to be utilized in workloads where previous generation Milan chips would have been I/O-bound. Genoa was also the first x86 server CPU to support CXL, allowing for further expansion of memory and other devices with a high bandwidth interface built on PCIe 5.0.

In June 2023, AMD began shipping the 3D-Vcache enabled Genoa-X lineup, a refresh of Genoa that uses the same 3D die stacking technology as Milan-X to enable up to 1152 MB of L3 cache, a 50% increase over Milan-X, which had a maximum of 768 MB of L3 cache. [11] On the same day, AMD also announced the release of their cloud optimized Zen 4c SKUs, codenamed Bergamo, offering up to 128 cores per socket, utilizing a modified version of the Zen 4 core that was optimized for power efficiency and to reduce die space. Zen 4c cores do not have any instructions removed compared to standard Zen 4 cores, instead, the amount of cache per core is reduced from 4 MB to 2 MB, and the frequency of the cores is reduced. [12] Bergamo is socket compatible with Genoa, using the same SP5 socket and supporting the same CXL, PCIe, and DDR5 capacity as Genoa. [13]

In September 2023, AMD launched their low power and embedded 8004 series of CPUs, codenamed Siena. Siena utilizes a new socket, called SP6, which has a smaller footprint and pin count than the SP5 socket of its contemporary Genoa processors. Siena utilizes the same Zen 4c core architecture as Bergamo cloud native processors, allowing up to 64 cores per processor, and the same 6 nm I/O die as Bergamo and Genoa, although certain features have been cut down, such as reducing the memory support from 12 channels of DDR5 to only 6, and removing dual socket support. [14]

AMD Epyc CPU codenames follow the naming scheme of Italian cities, including Milan, Rome, Naples, Genoa, Bergamo, Siena, and Turin.

AMD Epyc CPU generations [15] [16] [17] [18] [19]
GenYearCodenameProduct lineCoresSocketMemory
Server
1st2017Naples7001 series32 × Zen SP3 (LGA) DDR4
2nd2019Rome7002 series64 × Zen 2
3rd2021Milan7003 series64 × Zen 3
2022Milan-X
4thGenoa9004 series96 × Zen 4 SP5 (LGA) DDR5
2023Genoa-X
Bergamo128 × Zen 4c
Siena8004 series64 × Zen 4c SP6 (LGA)
5th2025Turin9005 series192 × Zen 5 SP5 (LGA)
6thTBAVeniceTBATBA SP7 (LGA)TBA
Embedded
1st2018Snowy OwlEmbedded 3001 series16 × Zen SP4 (BGA)DDR4
2nd2019NaplesEmbedded 7001 series32 × ZenSP3 (BGA)
3rd2021RomeEmbedded 7002 series64 × Zen 2
4th2023GenoaEmbedded 9004 series96 × Zen 4SP5 (BGA)DDR5

Design

A delidded second gen Epyc 7702, showing the die configuration AMD@7nm(12nmIOD)@Zen2@Rome@EPYC 7702 ES@2S1404E2VJUG5 BB ES DSCx3.jpg
A delidded second gen Epyc 7702, showing the die configuration

Epyc CPUs use a multi-chip module design to enable higher yields for a CPU than traditional monolithic dies. First generation Epyc CPUs are composed of four 14 nm compute dies, each with up to 8 cores. [20] [21] Cores are symmetrically disabled on dies to create lower binned products with fewer cores but the same I/O and memory footprint. Second and Third gen Epyc CPUs are composed of eight compute dies built on a 7 nm process node, and a large input/output (I/O) die built on a 14 nm process node. [22] Third gen Milan-X CPUs use advanced through-silicon-vias to stack an additional die on top of each of the 8 compute dies, adding 64 MB of L3 cache per die. [23]

Epyc CPUs supports both single socket and dual socket operation. In a dual socket configuration, 64 PCIe lanes from each CPU are allocated to AMD's proprietary Infinity Fabric interconnect to allow for full bandwidth between both CPUs. [24] Thus, a dual socket configuration has the same number of usable PCIe lanes as a single socket configuration. First generation Epyc CPUs had 128 PCIe 3.0 lanes, while second and third generation had 128 PCIe 4.0 lanes. All current Epyc CPUs are equipped with up to eight channels of DDR4 at varying speeds, though next gen Genoa CPUs are confirmed by AMD to support up to twelve channels of DDR5. [7] [25]

Unlike Opteron, Intel equivalents and AMD's desktop processors (excluding Socket AM1), Epyc processors are chipset-free - also known as system on a chip. That means most features required to make servers fully functional (such as memory, PCI Express, SATA controllers, etc.) are fully integrated into the processor, eliminating the need for a chipset to be placed on the mainboard. Some features may require the use of additional controller chips to utilize.

A near-infrared photograph of a delidded second gen Epyc 7702. Each CCD has two CCXs AMD Epyc 7702 delidded.jpg
A near-infrared photograph of a delidded second gen Epyc 7702. Each CCD has two CCXs

Reception

Initial reception to Epyc was generally positive. [25] Epyc was generally found to outperform Intel CPUs in cases where the cores could work independently, such as in high-performance computing and big-data applications. First generation Epyc fell behind in database tasks compared to Intel's Xeon parts due to higher cache latency. [25] In 2021 Meta Platforms selected Epyc chips for its metaverse data centers. [26]

Epyc Genoa was well received, as it offered improved performance and efficiency compared to previous offerings, though received some criticism for not having 2 DIMMs per channel configurations validating, with some reviewers calling it an "incomplete platform". [27]

List of Epyc processors

Server

First generation Epyc (Naples)

The following table lists the devices using the first generation design.

A "P" suffix denotes support for only a single socket configuration. Non-P models use 64 PCIe lanes from each processor for the communication between processors.

Common features of EPYC 7001 series CPUs:

Model [lower-roman 1] Cores
(threads)
Clock rate (GHz) L3 cache
(total)
TDP Chiplets Core
config [lower-roman 2]
Release Embedded
options [lower-roman 3]
BaseBoostDatePrice
(USD)
AllcoreMax
7251 [28] [29] 8 (16)2.12.92.932 MB120 W4 × CCD8 × 1Jun 2017 [30] $475 Yes
7261 [28] [31] 2.564 MB155/170 WJun 2018 [32] $570 Yes
7281 [28] [29] 16 (32)2.12.72.732 MB8 × 2Jun 2017 [30] $650 Yes
7301 [28] [29] 2.264 MB$800 Yes
7351P [28] [29] 2.42.92.9$750 735P
7351 [28] [29] $1,100 Yes
7371 [28] [33] 3.13.63.8200 WNov 2018 [34] $1,550 Yes
7401P [28] [29] 24 (48)2.02.83.0155/170 W8 × 3Jun 2017 [30] $1,075 740P
7401 [28] [29] $1,850 Yes
7451 [28] [29] 2.32.93.2180 W$2,400 Yes
7501 [28] [29] 32 (64)2.02.63.0155/170 W8 × 4$3,400 Yes
7551P [28] [29] 2.55180 W$2,100 755P
7551 [28] [29] $3,400 Yes
7571 [35] [36] 2.23.0200 WNov 2018OEM/AWS Un­known
7601 [28] [29] 2.73.2180 WJun 2017 [30] $4,200 Yes
  1. Models with "P" suffixes are uniprocessors, only available as single socket configuration.
  2. Core Complexes (CCX) × cores per CCX
  3. Epyc embedded 7001 series models have identical specifications as Epyc 7001 series.
A Epyc 7001 generation die configuration AMD EPYC die.jpg
A Epyc 7001 generation die configuration
A second generation Epyc CPU in an SP3 socket Amd epyc 7302 socket sp3 IMGP3493 smial wp.jpg
A second generation Epyc CPU in an SP3 socket

Second generation Epyc (Rome)

First generation Epyc processor EpycProcessor.jpg
First generation Epyc processor

In November 2018, AMD announced Epyc 2 at their Next Horizon event, the second generation of Epyc processors codenamed "Rome" and based on the Zen 2 microarchitecture. [37] The processors feature up to eight 7 nm-based "chiplet" processors with a 14 nm-based IO chip providing 128 PCIe 4.0 lanes in the center interconnected via Infinity Fabric. The processors support up to 8 channels of DDR4 RAM up to 4 TB, and introduce support for PCIe 4.0. These processors have up to 64 cores with 128 SMT threads per socket. [38] The 7 nm "Rome" is manufactured by TSMC. [22] It was released on August 7, 2019. [39] It has 39.5 billion transistors. [40]

In April 2020, AMD launched three new SKUs using Epyc’s 7nm Rome platform. The three processors introduced were the eight-core Epyc 7F32, the 16-core 7F52 and the 24-core 7F72, featuring base clocks up to 3.7 GHz (up to 3.9 GHz with boost) within a TDP range of 180 to 240 watts. The launch was supported by Dell EMC, Hewlett Packard Enterprise, Lenovo, Supermicro, and Nutanix. [41]

Common features of these CPUs:

  • Codenamed "Rome"
  • Zen 2 microarchitecture
  • TSMC 7 nm process
  • SP3 Socket
  • 128 PCIe lanes
  • Memory support: eight-channel DDR4-3200
ModelRelease
date
Price
(USD)
Fab Chiplets Cores
(threads)
Core
config [lower-roman 1]
Clock rate (GHz) Cache Socket
&
Scaling
TDP
BaseBoost L1 L2 L3
7232PAugust 7,
2019
$450 TSMC
7FF
2 × CCD
1 × I/OD
8 (16)4 × 23.13.232 KB inst.
32 KB data
(per core)
512 KB
(per core)
32 MB
(8 MB per CCX)
SP3
1P
120 W
7302P$8254 × CCD
1 × I/OD
16 (32)8 × 233.3128 MB
(16 MB per CCX)
155 W
7402P$125024 (48)8 × 32.83.35180 W
7502P$230032 (64)8 × 42.53.35
7702P$44258 × CCD
1 × I/OD
64 (128)16 × 423.35256 MB
(16 MB per CCX)
200 W
7252$4752 × CCD
1 × I/OD
8 (16)4 × 23.13.264 MB
(16 MB per CCX)
SP3
(up to) 2P
120 W
 7262$5754 × CCD
1 × I/OD
8 × 13.23.4128 MB
(16 MB per CCX)
155 W
7272$6252 × CCD
1 × I/OD
12 (24)4 × 32.93.264 MB
(16 MB per CCX)
120 W
7282$65016 (32)4 × 42.83.2
7302$9784 × CCD
1 × I/OD
8 × 233.3128 MB
(16 MB per CCX)
155 W
7352$135024 (48)8 × 32.33.2
7402$17838 × 32.83.35180 W
7452$202532 (64)8 × 42.353.35155 W
7502$26008 × 42.53.35180 W
7532$33508 × CCD
1 × I/OD
16 × 22.43.3256 MB
(16 MB per CCX)
200 W
7542$34004 × CCD
1 × I/OD
8 × 42.93.4128 MB
(16 MB per CCX)
225 W
7552$40256 × CCD
1 × I/OD
48 (96)12 × 42.23.3192 MB
(16 MB per CCX)
200 W
7642$47758 × CCD
1 × I/OD
16 × 32.33.3256 MB
(16 MB per CCX)
225 W
7662$615064 (128)16 × 423.3225 W
7702$645023.35200 W
7742$69502.253.4225 W
7H12September 18, 20192.63.3280 W
7F32April 14, 2020 [42] $21004 × CCD
1 × I/OD
8 (16)8 × 13.73.9128 MB
(16 MB per CCX)
180 W
7F52$31008 × CCD
1 × I/OD
16 (32)16 × 13.53.9256 MB
(16 MB per CCX)
240 W
7F72$24506 × CCD
1 × I/OD
24 (48)12 × 23.23.7192 MB
(16 MB per CCX)
240 W
  1. Core Complexes (CCX) × cores per CCX
The bottom side of an Epyc CPU mounted in a plastic carrier Amd epyc 7302 bottom side with carrier IMGP3326 smial wp.jpg
The bottom side of an Epyc CPU mounted in a plastic carrier

Third generation Epyc (Milan)

At the HPC-AI Advisory Council in the United Kingdom in October 2019, AMD stated specifications for Milan, Epyc chips based on the Zen 3 microarchitecture. [43] Milan chips will use Socket SP3, with up to 64 cores on package, and support eight-channel DDR4 RAM and 128 PCIe 4.0 lanes. [43] It also announced plans for the subsequent generation of chips, codenamed Genoa, that will be based on the Zen 4 microarchitecture and use Socket SP5. [43]

Milan CPUs were launched by AMD on March 15, 2021. [44]

Milan-X CPUs were launched March 21, 2022. [6] They use 3D V-Cache technology to increase the maximum L3 cache per socket capacity from 256 MB to 768 MB. [45] [46] [47]

ModelPrice
(USD)
Fab Chiplets Cores
(threads)
Core
config [lower-roman 1]
Clock rate (GHz) Cache Socket
&
Scaling
TDP
BaseBoost L1 L2 L3
7773X$8800 TSMC
7FF
8 × CCD
1 × I/OD
64 (128)8 × 82.203.5032 KB inst.
32 KB data
(per core)
512 KB
(per core)
768 MB
(96 MB per CCX)
SP3
(up to) 2P
280 W
7763$78902.453.40256 MB
32 MB per CCX
SP3
(up to) 2P
280 W
7713$70602.003.675225 W
7713P$5010SP3
1P
7663$636656 (112)8 × 72.003.50SP3
(up to) 2P
240 W
7663P$3139SP3
1P
7643$499548 (96)8 × 62.303.60SP3
(up to) 2P
225 W
7643P$2722SP3
1P
7573X$559032 (64)8 × 42.803.60768 MB
(96 MB per CCX)
SP3
(up to) 2P
280 W
75F3$48602.954.00256 MB
(32 MB per CCX)
7543$37612.803.70225 W
7543P$2730256 MB
(32 MB per CCX)
SP3
1P
7513$28402.603.65128 MB
(16 MB per CCX)
SP3
(up to) 2P
200 W
7453$15704 × CCD
1 × I/OD
28 (56)4 × 72.753.4564 MB
(16 MB per CCX)
225 W
7473X$39008 × CCD
1 × I/OD
24 (48)8 × 32.803.70768 MB
(96 MB per CCX)
240 W
74F3$29003.204.00256 MB
(32 MB per CCX)
7443$20104 × CCD
1 × I/OD
4 × 62.854.00128 MB
(32 MB per CCX)
200 W
7443P$1337SP3
1P
7413$18252.653.60SP3
(up to) 2P
180 W
7373X$41858 × CCD
1 × I/OD
16 (32)8 × 23.053.80768 MB
(96 MB per CCX)
240 W
73F3$35213.504.00256 MB
(32 MB per CCX)
7343$15654 × CCD
1 × I/OD
4 × 43.203.90128 MB
(32 MB per CCX)
190 W
7313$10833.003.70155 W
7313P$913SP3
1P
7303$6042 × CCD
1 × I/OD
2 x 82.403.4064 MB
(32 MB per CCX)
SP3
(up to) 2P
130 W
7303P$594SP3
1P
72F3$24688 × CCD
1 × I/OD
8 (16)8 × 13.704.10256 MB
(32 MB per CCX)
SP3
(up to) 2P
180 W
7203$3482 × CCD
1 × I/OD
2 x 42.803.4064 MB
(32 MB per CCX)
120 W
7203P$338SP3

1P

  1. Core Complexes (CCX) × cores per CCX

Fourth generation Epyc (Genoa, Bergamo and Siena)

On November 10, 2022, AMD launched the fourth generation of Epyc server and data center processors based on the Zen 4 microarchitecture, codenamed Genoa. [48] At their launch event, AMD announced that Microsoft and Google would be some of Genoa's customers. [49] Genoa features between 16 and 96 cores with support for PCIe 5.0 and DDR5. There was also an emphasis by AMD on Genoa's energy efficiency, which according to AMD CEO Lisa Su, means "lower total cost of ownership" for enterprise and cloud datacenter clients. [50] Genoa uses AMD's new SP5 (LGA 6096) socket. [51]

On June 13, 2023, AMD introduced Genoa-X with 3D V-Cache technology for technical computing performance and Bergamo (9734, 9754 and 9754S) for cloud native computing. [52]

On September 18, 2023, AMD introduced the low power Siena lineup of processors, based on the Zen 4c microarchitecture. Siena supports up to 64 cores on the new SP6 socket, which is currently only used by Siena processors. Siena uses the same I/O die as Bergamo, however certain features, such as dual socket support, are removed, and other features are reduced, such as the change from 12 channel memory support to 6 channel memory support. [53]

Model Fab Cores
(Threads)
Chiplets Core
config [lower-roman 1]
Clock rate
(GHz)
Cache (MB) Socket Socket
count
PCIe 5.0
lanes
Memory
support
TDP Release
date
Price
(USD)
BaseBoost L1 L2 L3 DDR5 ECC
Low Power & Edge (Zen 4c cores)
8024P TSMC
N5
8 (16)4 × CCD
1 × I/OD
4 × 22.453.00.5832 SP6 1P96DDR5-4800
six-channel
90 WSep 18, 2023$409
8024PN 2.0580 W$525
8124P 16 (32)4 × 42.911664125 W$639
8124PN 2.0100 W$790
8224P 24 (48)4 × 62.551.524160 W$855
8224PN 2.0120 W$1,015
8324P 32 (64)4 × 82.65232128180 W$1,895
8324PN 2.05130 W$2,125
8434P 48 (96)4 × 122.53.1348200 W$2,700
8434PN 2.03.0155 W$3,150
8534P 64 (128)4 × 162.33.1464200 W$4,950
8534PN 2.0155 W$5,450
Mainstream Enterprise (Zen 4 cores)
9124 TSMC
N5
16 (32)4 × CCD
1 × I/OD
4 × 43.03.711664 SP5 1P/2P128DDR5-4800
twelve-channel
200 WNov 10, 2022$1,083
9224 24 (48)4 × 62.53.71.524200 W$1,825
9254 4 × 62.94.15128220 W$2,299
9334 32 (64)4 × 82.73.9232210 W$2,990
9354 8 × CCD
1 × I/OD
8 × 43.253.75256280 W$3,420
9354P 1P$2,730
Performance Enterprise (Zen 4 cores)
9174F TSMC
N5
16 (32)8 × CCD
1 × I/OD
8 × 24.14.4116256 SP5 1P/2P128DDR5-4800
twelve-channel
320 WNov 10, 2022$3,850
9184X 3.554.2768Jun 13, 2023$4,928
9274F 24 (48)8 × 34.054.31.524256Nov 10, 2022$3,060
9374F 32 (64)8 × 43.854.3232$4,860
9384X 3.13.9768Jun 13, 2023$5,529
9474F 48 (96)8 × 63.64.1348256360 WNov 10, 2022$6,780
High Performance Computing (Zen 4 cores)
9454 TSMC
N5
48 (96)8 × CCD
1 × I/OD
8 × 62.753.8348256 SP5 1P/2P128DDR5-4800
twelve-channel
290 WNov 10, 2022$5,225
9454P 1P$4,598
9534 64 (128)8 × 82.453.74641P/2P280 W$8,803
9554 3.13.75360 W$9,087
9554P 1P$7,104
9634 84 (168)12 × CCD
1 × I/OD
12 × 72.253.75.25843841P/2P290 W$10,304
9654 96 (192)12 × 82.43.7696360 W$11,805
9654P 1P$10,625
9684X 2.553.711521P/2P400 WJun 13, 2023$14,756
Cloud (Zen 4c cores)
9734 TSMC
N5
112 (224)8 × CCD
1 × I/OD
8 x 142.23.07112256 SP5 1P/2P128DDR5-4800
twelve-channel
340 WJun 13, 2023$9,600
9754S 128 (128)8 x 162.253.18128360 W$10,200
9754 128 (256)$11,900
  1. Core Complexes (CCX) × cores per CCX

Embedded

First generation Epyc (Snowy Owl)

In February 2018, AMD also announced the Epyc 3000 series of embedded Zen CPUs. [54]

Common features of EPYC Embedded 3000 series CPUs:

  • Socket: SP4 (31xx and 32xx models use SP4r2 package).
  • All the CPUs support ECC DDR4-2666 in dual-channel mode (3201 supports only DDR4-2133), while 33xx and 34xx models support quad-channel mode.
  • L1 cache: 96 KB (32 KB data + 64 KB instruction) per core.
  • L2 cache: 512 KB per core.
  • All the CPUs support 32 PCIe 3.0 lanes per CCD (max 64 lanes).
  • Fabrication process: GlobalFoundries 14 nm.
Model Cores
(threads)
Clock rate (GHz) L3 cache
(total)
TDP Chiplets Core
config [lower-roman 1]
Release
date
BaseBoost
All-coreMax
3101 [55] 4 (4)2.12.92.98 MB35 W1 x CCD1 × 4Feb 2018
3151 [55] 4 (8)2.716 MB45 W2 × 2
3201 [55] 8 (8)1.53.13.130 W2 × 4
3251 [55] 8 (16)2.555 W
3255 [56] 2555 WDec 2018
3301 [55] 12 (12)2.02.153.032 MB65 W2 x CCD4 × 3Feb 2018
3351 [55] 12 (24)1.92.756080 W
3401 [55] 16 (16)1.852.2585 W4 × 4
3451 [55] 16 (32)2.152.4580100 W
  1. Core Complexes (CCX) × cores per CCX

Chinese variants

A variant created for the Chinese server market by Hygon Information Technology is the Hygon Dhyana system on a chip. [57] [58] It is noted to be a variant of the AMD Epyc, and is so similar that "there is little to no differentiation between the chips". [57] It has been noted that there is "less than 200 lines of new kernel code" for Linux kernel support, and that the Dhyana is "mostly a re-branded Zen CPU for the Chinese server market". [58] Later Benchmarks showed that certain floating point instructions are performing worse, probably to comply with US export restrictions. [59] AES and other western cryptography algorithms are replaced by Chinese variants throughout the design. [59]

Related Research Articles

<span class="mw-page-title-main">AMD APU</span> Series of microprocessors by AMD

AMD Accelerated Processing Unit (APU), formerly known as Fusion, is a series of 64-bit microprocessors from Advanced Micro Devices (AMD), combining a general-purpose AMD64 central processing unit (CPU) and 3D integrated graphics processing unit (IGPU) on a single die.

<span class="mw-page-title-main">Intel Core</span> Line of CPUs by Intel

Intel Core is a line of multi-core central processing units (CPUs) for midrange, embedded, workstation, high-end and enthusiast computer markets marketed by Intel Corporation. These processors displaced the existing mid- to high-end Pentium processors at the time of their introduction, moving the Pentium to the entry level. Identical or more capable versions of Core processors are also sold as Xeon processors for the server and workstation markets.

<span class="mw-page-title-main">DDR5 SDRAM</span> Fifth generation of double-data-rate synchronous dynamic random-access memory

Double Data Rate 5 Synchronous Dynamic Random-Access Memory is a type of synchronous dynamic random-access memory. Compared to its predecessor DDR4 SDRAM, DDR5 was planned to reduce power consumption, while doubling bandwidth. The standard, originally targeted for 2018, was released on July 14, 2020.

Zen is the codename for a family of computer processor microarchitectures from AMD, first launched in February 2017 with the first generation of its Ryzen CPUs. It is used in Ryzen, Ryzen Threadripper, and Epyc (server).

<span class="mw-page-title-main">Zen (first generation)</span> 2017 AMD 14-nanometre processor microarchitecture

Zen is the codename for the first iteration in a family of computer processor microarchitectures of the same name from AMD. It was first used with their Ryzen series of CPUs in February 2017. The first Zen-based preview system was demonstrated at E3 2016, and first substantially detailed at an event hosted a block away from the Intel Developer Forum 2016. The first Zen-based CPUs, codenamed "Summit Ridge", reached the market in early March 2017, Zen-derived Epyc server processors launched in June 2017 and Zen-based APUs arrived in November 2017.

<span class="mw-page-title-main">Zen 2</span> 2019 AMD 7-nanometre processor microarchitecture

Zen 2 is a computer processor microarchitecture by AMD. It is the successor of AMD's Zen and Zen+ microarchitectures, and is fabricated on the 7 nm MOSFET node from TSMC. The microarchitecture powers the third generation of Ryzen processors, known as Ryzen 3000 for the mainstream desktop chips, Ryzen 4000U/H and Ryzen 5000U for mobile applications, as Threadripper 3000 for high-end desktop systems, and as Ryzen 4000G for accelerated processing units (APUs). The Ryzen 3000 series CPUs were released on 7 July 2019, while the Zen 2-based Epyc server CPUs were released on 7 August 2019. An additional chip, the Ryzen 9 3950X, was released in November 2019.

<span class="mw-page-title-main">Ryzen</span> AMD brand for microprocessors

Ryzen is a brand of multi-core x86-64 microprocessors designed and marketed by Advanced Micro Devices (AMD) for desktop, mobile, server, and embedded platforms based on the Zen microarchitecture. It consists of central processing units (CPUs) marketed for mainstream, enthusiast, server, and workstation segments and accelerated processing units (APUs) marketed for mainstream and entry-level segments and embedded systems applications.

Zen+ is the codename for a computer processor microarchitecture by AMD. It is the successor to the first gen Zen microarchitecture, and was first released in April 2018, powering the second generation of Ryzen processors, known as Ryzen 2000 for mainstream desktop systems, Threadripper 2000 for high-end desktop setups and Ryzen 3000G for accelerated processing units (APUs).

The Radeon RX Vega series is a series of graphics processors developed by AMD. These GPUs use the Graphics Core Next (GCN) 5th generation architecture, codenamed Vega, and are manufactured on 14 nm FinFET technology, developed by Samsung Electronics and licensed to GlobalFoundries. The series consists of desktop graphics cards and APUs aimed at desktops, mobile devices, and embedded applications.

Sapphire Rapids is a codename for Intel's server and workstation processors based on the Golden Cove microarchitecture and produced using Intel 7. Featuring up to 60 cores and an array of accelerators, it is the first generation of Intel server and workstation processors to use a chiplet design.

<span class="mw-page-title-main">Zen 4</span> 2022 AMD 5-nanometer processor microarchitecture

Zen 4 is the codename for a CPU microarchitecture designed by AMD, released on September 27, 2022. It is the successor to Zen 3 and uses TSMC's N6 process for I/O dies, N5 process for CCDs, and N4 process for APUs. Zen 4 powers Ryzen 7000 performance desktop processors, Ryzen 8000G series mainstream desktop APUs, and Ryzen Threadripper 7000 series HEDT and workstation processors. It is also used in extreme mobile processors, thin & light mobile processors, as well as EPYC 8004/9004 server processors.

<span class="mw-page-title-main">Zen 3</span> 2020 AMD 7-nanometer processor microarchitecture

Zen 3 is the codename for a CPU microarchitecture by AMD, released on November 5, 2020. It is the successor to Zen 2 and uses TSMC's 7 nm process for the chiplets and GlobalFoundries's 14 nm process for the I/O die on the server chips and 12 nm for desktop chips. Zen 3 powers Ryzen 5000 mainstream desktop processors and Epyc server processors. Zen 3 is supported on motherboards with 500 series chipsets; 400 series boards also saw support on select B450 / X470 motherboards with certain BIOSes. Zen 3 is the last microarchitecture before AMD switched to DDR5 memory and new sockets, which are AM5 for the desktop "Ryzen" chips alongside SP5 and SP6 for the EPYC server platform and sTRX8. According to AMD, Zen 3 has a 19% higher instructions per cycle (IPC) on average than Zen 2.

Sierra Forest is a codename for Intel's first generation E-core based Xeon server processors. It is fabricated using Intel's Intel 3 process and compatible with the LGA 7529 socket.

Socket SP5 is a zero insertion force land grid array CPU socket designed by AMD supporting its Zen 4-based Epyc server processors codenamed Genoa that launched on November 10, 2022.

Socket SP6 is a zero insertion force land grid array CPU socket designed by AMD supporting its Zen 4c-based Epyc Siena server processors that launched on September 18, 2023. It is designed for server systems targeting infrastructure and edge computing segments.

References

  1. Cutress, Ian (May 30, 2017). "Computex 2017: AMD Press Event Live Blog". AnandTech. Retrieved November 7, 2022.
  2. Kampman, Jeff (May 16, 2017). "AMD's Naples datacenter CPUs will make an Epyc splash". Tech Report. Retrieved May 16, 2017.
  3. Cutress, Ian (June 20, 2017). "AMD's Future in Servers: New 7000-Series CPUs Launched and EPYC Analysis". AnandTech. Retrieved July 12, 2017.
  4. Alcorn, Paul (March 15, 2021). "Watch AMD's Epyc 7003 Milan Launch Here". Tom's Hardware. Retrieved April 5, 2022.
  5. "AMD EPYC 7763 vs AMD EPYC 7702 [cpubenchmark.net] by PassMark Software". CPU Benchmark. Retrieved April 5, 2022.
  6. 1 2 "AMD EPYC 7003 "Milan-X" launches March 21st, specs and pricing leaked". VideoCardz. March 20, 2022. Retrieved March 25, 2022.
  7. 1 2 Cutress, Ian (November 8, 2021). "AMD Gives Details on EPYC Zen4: Genoa and Bergamo, up to 96 and 128 Cores". AnandTech. Retrieved April 5, 2022.
  8. Mujtaba, Hassan (February 28, 2021). "AMD EPYC Genoa CPU Platform Detailed - Up To 96 Zen 4 Cores, 192 Threads, 12-Channel DDR5-5200, 128 PCIe Gen 5 Lanes, SP5 'LGA 6096' Socket". Wccftech. Retrieved April 5, 2022.
  9. servethehome (November 8, 2021). "AMD Bergamo to hit 128 Cores and Genoa at 96 Cores". ServeTheHome. Retrieved April 5, 2022.
  10. Mujtaba, Hassan (January 10, 2022). "Another AMD EPYC Genoa 'Zen 4' CPU Leaks Out, This Time A 16 Core Chip With 2 Active CCDs". Wccftech. Retrieved April 5, 2022.
  11. Smith, Ryan. "AMD: EPYC "Genoa-X" CPUs With 1.1GB of L3 Cache Now Available". www.anandtech.com. Retrieved September 26, 2023.
  12. Kennedy, Patrick (July 19, 2023). "AMD EPYC Bergamo is a Fantastically Fresh Take on Cloud Native Compute". ServeTheHome. Retrieved September 27, 2023.
  13. Kennedy, Patrick (July 19, 2023). "AMD EPYC Bergamo is a Fantastically Fresh Take on Cloud Native Compute". ServeTheHome. Retrieved September 26, 2023.
  14. Kennedy, Patrick (September 18, 2023). "AMD EPYC 8004 Siena Launched for Lower Power EPYC Edge". ServeTheHome. Retrieved September 26, 2023.
  15. Cutress, Ian (May 27, 2019). "AMD Confirms Zen 4 EPYC Codename, and Elaborates on Frontier Supercomputer CPU". AnandTech. Retrieved November 7, 2022.
  16. Bonshor, Gavin (June 9, 2022). "AMD Updated EPYC Roadmap: 5th Gen EPYC "Turin" Announced, Coming by End of 2024". AnandTech. Retrieved November 7, 2022.
  17. btarunr (June 10, 2022). "AMD Announces the "Zen 5" Microarchitecture and EPYC "Turin" Processor on 4nm". TechPowerUp. Retrieved November 15, 2022.
  18. Shilov, Anthon (March 14, 2023). "AMD Rolls Out EPYC Embedded 9004 CPUs: Up to 96 Cores". Tom's Hardware. Retrieved June 15, 2023.
  19. Shilov, Anthon (September 18, 2023). "AMD Launches EPYC 8004-Series 'Siena' CPUs: Up to 64 Zen 4c Cores". Tom's Hardware. Retrieved September 18, 2023.
  20. Cutress, Ian (March 7, 2017). "AMD Prepares 32-Core Naples CPUs for 1P and 2P Servers: Coming in Q2". AnandTech. Retrieved March 7, 2017.
  21. Morris, John (March 13, 2018). "Inside GlobalFoundries' long road to the leading edge". ZDNet. Retrieved July 17, 2019.
  22. 1 2 Smith, Ryan (July 26, 2018). "AMD "Rome" EPYC CPUs to Be Fabbed By TSMC". AnandTech. Retrieved June 18, 2019.
  23. Trader, Tiffany (March 21, 2022). "AMD Milan-X CPU with 3D V-Cache Available in Four SKUs, Up to 64-Cores". HPC Wire. Retrieved May 6, 2022.
  24. Johnson, Renee (March 7, 2017). "AMD's Naples platform prepares to take Zen into the datacenter". Tech Report. Retrieved March 7, 2017.
  25. 1 2 3 De Gelas, Johan; Cutress, Ian (July 11, 2017). "Sizing Up the Servers: Intel's Skylake-SP Xeon vs AMD's EPYC 7000". AnandTech. Retrieved July 11, 2017.
  26. Sozzi, Brian (November 8, 2021). "Chipmaker AMD just scored a big deal with Meta". Yahoo! Finance. Retrieved November 10, 2022.
  27. Kennedy, Patrick (November 22, 2022). "Gigabyte has a 48 DIMM 2P AMD EPYC Genoa GPU Server at SC22". ServeTheHome. Retrieved November 26, 2022.
  28. 1 2 3 4 5 6 7 8 9 10 11 12 13 14 "AMD EPYC 7000 Series Processors" (PDF). AMD. January 2019. Retrieved March 25, 2023.
  29. 1 2 3 4 5 6 7 8 9 10 11 12 Cutress, Ian (June 20, 2017). "AMD's Future in Servers: New 7000-Series CPUs Launched and EPYC Analysis". AnandTech. Retrieved June 21, 2017.
  30. 1 2 3 4 Kennedy, Patrick (May 16, 2017). "AMD EPYC New Details on the Emerging Server Platform". ServeTheHome. Retrieved May 16, 2017.
  31. "AMD EPYC 7261 - PS7261BEV8RAF". CPU-World. March 26, 2023.
  32. Kennedy, Patrick (October 31, 2018). "AMD EPYC 7261 8 Core CPU Quietly Launched L3 Cache Monster". ServeTheHome. Retrieved March 28, 2023.
  33. "AMD EPYC 7371 - PS7371BDVGPAF". CPU-World. March 26, 2023.
  34. "New AMD-Powered Supercomputers Unleash Discovery and Accelerate Innovation" (Press release). AMD. November 13, 2018. Retrieved March 28, 2023.
  35. "AMD EPYC 7571 - PS7571BDVIHAF". CPU-World. March 25, 2023.
  36. Larabel, Michael (November 7, 2018). "A Look At The AMD EPYC Performance On The Amazon EC2 Cloud". Phoronix. Retrieved March 28, 2023.
  37. "AMD Takes High-Performance Datacenter Computing to the Next Horizon". AMD (Press release). San Francisco, CA. November 6, 2018. Retrieved December 6, 2018.
  38. Ung, Gordon (November 7, 2018). "What AMD's 64-core 'Rome' server CPU tells us about Ryzen 2". PCWorld. Retrieved November 11, 2018.
  39. "2nd Gen AMD EPYC Processors Set New Standard for the Modern Datacenter with Record-Breaking Performance and Significant TCO Savings". AMD (Press release). Santa Clara, CA. August 7, 2019. Retrieved August 8, 2019.
  40. S. Smith, Matthew (2022). "Single-Chip Processors Have Reached Their Limits". IEEE Spectrum. 59 (7): 11.
  41. Trader, Tiffany (April 14, 2020). "AMD Launches Three New High-Frequency Epyc SKUs Aimed at Commercial HPC". www.hpcwire.com.
  42. "New 2nd Gen AMD EPYC™ Processors Redefine Performance for Database, Commercial HPC and Hyperconverged Workloads". AMD. April 14, 2020.
  43. 1 2 3 Alcorn, Paul (October 5, 2019). "AMD dishes on Zen 3 and Zen 4 architecture, Milan and Genoa roadmap". Tom's Hardware. Retrieved October 5, 2019.
  44. Trader, Tiffany (March 15, 2021). "AMD Launches Epyc 'Milan' with 19 SKUs for HPC, Enterprise and Hyperscale". HPC Wire. Retrieved November 10, 2022.
  45. Sandhu, Tarinder (March 21, 2022). "A deeper look into AMD Epyc 7003 Milan-X featuring 3D V-Cache". Club386. Retrieved November 10, 2022.
  46. Bonshor, Gavin (March 21, 2022). "AMD Releases Milan-X CPUs With 3D V-Cache: EPYC 7003 Up to 64 Cores and 768 MB L3 Cache". AnandTech. Retrieved November 10, 2022.
  47. "AMDs Exascale-Hammer: Epyc 3 mit 804 MByte Cache, Instinct MI200 mit 47,9 TFlops". c't Magazin (in German). November 8, 2021. Retrieved November 10, 2022.
  48. Mujtaba, Hassan (November 10, 2022). "AMD 4th Gen EPYC 9004 "Genoa Zen 4" CPUs Launched: Up To 96 Cores, 192 Threads, 384 MB L3 Cache & Crushing All Other Server Chips". Wccftech. Retrieved November 11, 2022.
  49. Lee, Jane Lanhee; Mehta, Cahvi (November 10, 2022). "AMD launches data center CPU 'Genoa', taps Google, Microsoft as customers". Reuters. Retrieved November 11, 2022.
  50. Burt, Jeffrey (November 10, 2022). "The Acute Role Reversal for AMD and Intel in Datacenter Compute". The Next Platform. Retrieved November 11, 2022.
  51. Alcorn, Paul (November 10, 2022). "AMD 4th-Gen EPYC Genoa 9654, 9554, and 9374F Review: 96 Cores, Zen 4 and 5nm Disrupt the Data Center". Tom's Hardware. Retrieved November 11, 2022.
  52. "AMD Expands 4th Gen EPYC CPU Portfolio with Leadership Processors for Cloud Native and Technical Computing Workloads". amd.com. Santa Clara, California. June 13, 2023. Retrieved June 14, 2023.
  53. "AMD Completes 4th Gen EPYC Family with the AMD EPYC 8004 Processors, Purpose Built for Cloud Services, Intelligent Edge and Telco". amd.com. Santa Clara, California. June 13, 2023. Retrieved September 18, 2023.
  54. Alcorn, Paul (February 21, 2018). "AMD Launches Ryzen Embedded V1000, EPYC Embedded 3000 Processors". Tom's Hardware. Retrieved April 5, 2018.
  55. 1 2 3 4 5 6 7 8 "Product Brief: AMD EPYC Embedded 3000 Family" (PDF). AMD. 2018. Retrieved March 26, 2023.
  56. "AMD EPYC Embedded 3255 - PE3255BGR88AF". CPU-World. March 26, 2023.
  57. 1 2 Alcorn, Paul (July 6, 2018). "China Finds Zen: Begins Production Of x86 Processors Based On AMD's IP". Tom's Hardware. Retrieved July 9, 2018.
  58. 1 2 Larabel, Michael (June 9, 2018). "Hygon Dhyana: Chinese x86 Server CPUs Based On AMD Zen". Phoronix. Retrieved July 9, 2018.
  59. 1 2 Cutress, Ian; Wilson, Wendell (February 27, 2020). "Testing a Chinese x86 CPU: A Deep Dive into Zen-based Hygon Dhyana Processors". AnandTech. Retrieved September 29, 2020.