AMD 10h

Last updated
K10 / Family 10h
General information
Launched2007
Discontinued2012
Common manufacturer(s)
Performance
Max. CPU clock rate 1700 MHz to 3700 MHz
FSB speeds1000 MHz to 2000 MHz
Architecture and classification
Technology node 65 nm to 32 nm
Instruction set AMD64 (x86-64)
Physical specifications
Socket(s)
Products, models, variants
Core name(s)
History
Predecessor(s) K8 - Hammer
Successor(s) Bulldozer - Family 15h
Support status
iGPU unsupported

The AMD Family 10h, or K10, is a microprocessor microarchitecture by AMD based on the K8 microarchitecture. [1] The first third-generation Opteron products for servers were launched on September 10, 2007, with the Phenom processors for desktops following and launching on November 11, 2007 as the immediate successors to the K8 series of processors (Athlon 64, Opteron, 64-bit Sempron).

Contents

Nomenclature

It appears that AMD has not used K-nomenclature (which originally stood for "Kryptonite" in the K5 processor [2] ) from the time after the use of the codename K8 for the AMD K8 or Athlon 64 processor family, since no K-nomenclature naming convention beyond K8 has appeared in official AMD documents and press releases after the beginning of 2005.

The name "K8L" was first coined by Charlie Demerjian in 2005, at the time a writer at The Inquirer , [3] and was used by the wider IT community as a convenient shorthand [4] while according to AMD official documents, the processor family was termed "AMD Next Generation Processor Technology". [5]

The microarchitecture has also been referred to as Stars, as the codenames for desktop line of processors was named under stars or constellations (the initial Phenom models being codenamed Agena and Toliman).

In a video interview, [6] Giuseppe Amato confirmed that the codename is K10.

It was revealed, by The Inquirer itself, that the codename "K8L" referred to a low-power version of the K8 family, later named Turion 64, and that K10 was the official codename for the microarchitecture. [4]

AMD refers to it as Family 10h Processors, as it is the successor of the Family 0Fh Processors (codename K8). 10h and 0Fh refer to the main result of the CPUID x86 processor instruction. In hexadecimal numbering, 0Fh (h represents hexadecimal numbering) equals the decimal number 15, and 10h equals decimal 16. (The "K10h" form that sometimes pops up is an improper hybrid of the "K" code and Family identifier number.)

Schedule of launch and delivery

Timeline

Historical information

In 2003, AMD outlined the features for upcoming generations of microprocessors after the K8 family of processors in various events and analyst meetings, including the Microprocessor Forum 2003. [7] The outlined features to be deployed by the next-generation microprocessors are as follows:

In June 2006, AMD executive vice president Henri Richard had an interview with DigiTimes commented on the upcoming processor developments:

Q: What is your broad perspective on the development of AMD processor technology over the next three to four years?

A: Well, as Dirk Meyer commented at our analysts meeting, we're not standing still. We've talked about the refresh of the current K8 architecture that will come in '07, with significant improvements in many different areas of the processor, including integer performance, floating point performance, memory bandwidth, interconnections and so on.

AMD Executive Vice President, Henri Richard, Source: DigiTimes Interview with Henri Richard [8]


Live demonstrations

On November 30, 2006, AMD live demonstrated the native quad core chip known as "Barcelona" for the first time in public, [9] while running Windows Server 2003 64-bit Edition. AMD claims 70% scaling of performance in real world loads, and better performance than Intel Xeon 5355 processor codenamed Clovertown. [10]

On January 24, 2007, AMD Executive Vice President Randy Allen claimed that in live tests, in regard to a wide variety of workloads, "Barcelona" was able to demonstrate 40% performance advantage over the comparable Intel Xeon codenamed Clovertown dual-processor (2P) quad-core processors. [11] The expected performance of floating point per core would be approximately 1.8 times that of the K8 family, at the same clock speed. [12]

On May 10, 2007, AMD held a private event demonstrating the upcoming processors codenamed Agena FX and chipsets, with one demonstrated system being AMD Quad FX platform with one Radeon HD 2900 XT graphics card on the upcoming RD790 chipset. The system was also demonstrated real-time converting a 720p video clip into another undisclosed format while all 8 cores were maxed at 100% by other tasks. [13]

Sister microarchitecture

On the December 2006 analyst day, Executive vice president Marty Seyer announced a new mobile core codenamed Griffin launched in 2008 with inherited power optimizations technologies from the K10 microarchitecture, but based on a K8 design.

TLB bug

In November 2007 AMD stopped delivery of Barcelona processors after a bug in the translation lookaside buffer (TLB) of stepping B2 was discovered that could rarely lead to a race condition and thus a system lockup. [14] A patch in BIOS or software worked around the bug by disabling cache for page tables, but it was connected to a 5 to 20% performance penalty. Kernel patches that would almost completely avoid this penalty were published for Linux. In April 2008, the new stepping B3 was brought to the market by AMD, including a fix for the bug plus other minor enhancements. [15]

Features

Fabrication technology

AMD has introduced the microprocessors manufactured at 65 nm feature width using Silicon-on-insulator (SOI) technology, since the release of K10 coincides with the volume ramp of this manufacturing process. [16]

Supported DRAM standards

The K8 family was known to be particularly sensitive to memory latency since its design gains performance by minimizing this through the use of an on-die memory controller (integrated into the CPU); increased latency in the external modules negates the usefulness of the feature. DDR2 RAM introduces some additional latency over DDR RAM since the DRAM is internally driven by a clock at one quarter of the external data frequency, as opposed to one half that of DDR. However, since the command clock rate in DDR2 is doubled relative to DDR and other latency-reducing features (e.g. additive latency) have been introduced, common comparisons based on CAS latency alone are not sufficient. For example, Socket AM2 processors are known to demonstrate similar performance using DDR2 SDRAM as Socket 939 processors that utilize DDR-400 SDRAM. K10 processors support DDR2 SDRAM rated up to DDR2-1066 (1066 MHz). [17]

While some desktop K10 processors are AM2+ supporting only DDR2, an AM3 K10 processor supports both DDR2 and DDR3. A few AM3 motherboards have both DDR2 and DDR3 slots (this does not mean that both types can be fitted at the same time), but for the most part they have only DDR3.

Lynx desktop processors only support DDR3, as they use the FM1 socket.

Microarchitecture characteristics

K10 architecture AMD K10 Arch.svg
K10 architecture
K10 single core with overlay description, excluding the L2 cache array K10h.jpg
K10 single core with overlay description, excluding the L2 cache array

Characteristics of the microarchitecture include the following: [18]

Feature tables

CPUs

APUs

APU features table

Desktop

Phenom models

Agena (65 nm SOI, quad-core)

Toliman (65 nm SOI, tri-core)

Phenom II models

Thuban (45 nm SOI, hexa-core)

Zosma (45 nm SOI, quad-core)

Deneb (45 nm SOI, quad-core)

42 TWKR Limited Edition (45 nm SOI, quad-core)

AMD released a limited edition Deneb-based processor to extreme overclockers and partners. Fewer than 100 were manufactured.

The "42" officially represents four cores running at 2 GHz, but is also a reference to the answer to life, the universe, and everything from The Hitchhiker's Guide to the Galaxy . [25]

Propus (45 nm SOI, quad-core)

Heka (45 nm SOI, tri-core)

Callisto (45 nm SOI, dual-core)

Regor (45 nm SOI, dual-core)

Athlon X2 models

Kuma (65 nm SOI, dual-core)

Regor/Deneb (45 nm SOI, dual-core)

Athlon II Models

Zosma (45 nm SOI, quad-core)

Propus (45 nm SOI, quad-core)

Rana (45 nm SOI, tri-core)

Regor (45 nm SOI, dual-core)

Sargas (45 nm SOI, single-core)

Lynx (32 nm SOI, dual or quad-core)

Sempron models

Sargas (45 nm SOI, single-core)

Sempron X2 models

Regor (45 nm SOI, dual-core)

Lynx (32 nm SOI, dual-core)

Llano "APUs"

Lynx (32 nm SOI, dual or quad-core)

The first generation desktop APUs based on the K10 microarchitecture were released in 2011 (some models do not provide graphics capability, such as the Lynx Athlon II and Sempron X2).

  • Fabrication 32 nm on GlobalFoundries SOI process
  • Socket FM1
  • Die size: 228 mm2, with 1.178 billion transistors [30] [31]
  • AMD K10 cores with no L3 cache
  • GPU: TeraScale 2
  • All A and E series models feature Redwood-class integrated graphics on die (BeaverCreek for the dual-core variants and WinterPark for the quad-core variants). Sempron and Athlon models exclude integrated graphics. [32]
  • Support for up to four DIMMs of up to DDR3-1866 memory
  • 5 GT/s UMI
  • Integrated PCIe 2.0 controller
  • Select models support Turbo Core technology for faster CPU operation when the thermal specification permits
  • Select models support Hybrid Graphics technology to assist a discrete Radeon HD 6450, 6570, or 6670 discrete graphics card. This is similar to the current Hybrid CrossFireX technology available in the AMD 700 and 800 chipset series
  • ISA extensions: MMX, Enhanced 3DNow!, SSE, SSE2, SSE3, SSE4a, ABM, NX bit, AMD64, Cool'n'Quiet , AMD-V
  • Models: Lynx desktop APUs and CPUs

Mobile

Turion II (Ultra) models

"Caspian" (45nm SOI, dual-core)

Turion II models

"Caspian" (45nm SOI, dual-core)

"Champlain" (45nm SOI, dual-core)

Athlon II models

"Caspian" (45nm SOI, dual-core)

"Champlain" (45nm SOI, dual-core)

Sempron models

"Caspian" (45nm SOI, single-core)

Turion II Neo models

"Geneva" (45nm SOI, dual-core)

Athlon II Neo models

"Geneva" (45nm SOI, dual-core)

"Geneva" (45nm SOI, single-core)

V models

"Geneva" (45nm SOI, single-core)

"Champlain" (45nm SOI, single-core)

Phenom II models

"Champlain" (45nm SOI, quad-core)

"Champlain" (45nm SOI, tri-core)

"Champlain" (45nm SOI, dual-core)

Llano APUs

"Sabine" (32nm SOI, dual or quad-core)

Server

There are two generations of K10-based processors for servers: Opteron 65 nm and 45 nm.

Successor

AMD discontinued further development of K10 based CPUs after Thuban, choosing to focus on Fusion products for mainstream desktops and laptops and Bulldozer based products for the performance market. However, within the Fusion product family, APUs such as the first generation A4, A6 and A8-series chips (Llano APUs) continued to use K10-derived CPU cores in conjunction with a Radeon graphics core. K10 and its derivatives were phased out of production by the introduction of Trinity-based APUs in 2012, which replaced the K10 cores in the APU with Bulldozer-derived cores.

Family 11h and 12h derivatives

Turion X2 Ultra Family 11h

The Family 11h microarchitecture was a mixture of both K8 and K10 designs with lower power consumption for laptop that was marketed as Turion X2 Ultra and was later replaced by completely K10-based designs. [1]

Fusion Family 12h

The Family 12h microarchitecture is a derivative of the K10 design: [37] [38]

Media discussions

Note: These media discussions are listed in ascending date of publication.

See also

Related Research Articles

<span class="mw-page-title-main">Opteron</span> Server and workstation processor line by AMD

Opteron is AMD's x86 former server and workstation processor line, and was the first processor which supported the AMD64 instruction set architecture. It was released on April 22, 2003, with the SledgeHammer core (K8) and was intended to compete in the server and workstation markets, particularly in the same segment as the Intel Xeon processor. Processors based on the AMD K10 microarchitecture were announced on September 10, 2007, featuring a new quad-core configuration. The last released Opteron CPUs are the Piledriver-based Opteron 4300 and 6300 series processors, codenamed "Seoul" and "Abu Dhabi" respectively.

<span class="mw-page-title-main">Athlon 64</span> Series of CPUs by AMD

The Athlon 64 is a ninth-generation, AMD64-architecture microprocessor produced by Advanced Micro Devices (AMD), released on September 23, 2003. It is the third processor to bear the name Athlon, and the immediate successor to the Athlon XP. The Athlon 64 was the second processor to implement the AMD64 architecture and the first 64-bit processor targeted at the average consumer. Variants of the Athlon 64 have been produced for Socket 754, Socket 939, Socket 940, and Socket AM2. It was AMD's primary consumer CPU, and primarily competed with Intel's Pentium 4, especially the Prescott and Cedar Mill core revisions.

<span class="mw-page-title-main">Sempron</span> Marketing name by AMD

Sempron has been the marketing name used by AMD for several different budget desktop CPUs, using several different technologies and CPU socket formats. The Sempron replaced the AMD Duron processor and competed against Intel's Celeron series of processors. AMD coined the name from the Latin semper, which means "always", to suggest the Sempron is suitable for "daily use, practical, and part of everyday life". The last Semprons were launched in April 2014. The brand was retired with the launch of the AMD A-Series APUs.

<span class="mw-page-title-main">Athlon 64 X2</span> Series of CPUs by AMD

The Athlon 64 X2 is the first native dual-core desktop central processing unit (CPU) designed by Advanced Micro Devices (AMD). It was designed from scratch as native dual-core by using an already multi-CPU enabled Athlon 64, joining it with another functional core on one die, and connecting both via a shared dual-channel memory controller/north bridge and additional control logic. The initial versions are based on the E stepping model of the Athlon 64 and, depending on the model, have either 512 or 1024 KB of L2 cache per core. The Athlon 64 X2 can decode instructions for Streaming SIMD Extensions 3 (SSE3), except those few specific to Intel's architecture. The first Athlon 64 X2 CPUs were released in May 2005, in the same month as Intel's first dual-core processor, the Pentium D.

<span class="mw-page-title-main">Socket AM2</span> CPU socket for old AMD CPUs

The Socket AM2, renamed from Socket M2, is a CPU socket designed by AMD for desktop processors, including the performance, mainstream and value segments. It was released on May 23, 2006, as a replacement for Socket 939.

<span class="mw-page-title-main">AMD Phenom</span> Series of CPUs by AMD

Phenom is the 64-bit AMD desktop processor line based on the K10 microarchitecture, in what AMD calls family 10h processors, sometimes incorrectly called "K10h". Triple-core versions belong to the Phenom 8000 series and quad cores to the AMD Phenom X4 9000 series. The first processor in the family was released in 2007.

AMD Turion is the brand name AMD applies to its x86-64 low-power consumption (mobile) processors codenamed K8L. The Turion 64 and Turion 64 X2/Ultra processors compete with Intel's mobile processors, initially the Pentium M and the Intel Core and Intel Core 2 processors.

<span class="mw-page-title-main">Phenom II</span> Family of AMD multi-core 45 nm processors

Phenom II is a family of AMD's multi-core 45 nm processors using the AMD K10 microarchitecture, succeeding the original Phenom. Advanced Micro Devices released the Socket AM2+ version of Phenom II in December 2008, while Socket AM3 versions with DDR3 support, along with an initial batch of triple- and quad-core processors were released on February 9, 2009. Dual-processor systems require Socket F+ for the Quad FX platform. The next-generation Phenom II X6 was released on April 27, 2010.

<span class="mw-page-title-main">Athlon II</span> Family of central processing unit models

Athlon II is a family of AMD multi-core 45 nm central processing units, which is aimed at the budget to mid-range market and is a complementary product lineup to the Phenom II.

References

  1. 1 2 "List of AMD CPU microarchitectures - LeonStudio". LeonStudio - CodeFun. 3 August 2014. Archived from the original on 26 September 2020. Retrieved 12 September 2015.
  2. Hesseldahl, Arik (2000-07-06). "Why Cool Chip Code Names Die". forbes.com. Retrieved 2007-07-14.
  3. "The Inquirer report". The Inquirer . Archived from the original on September 6, 2007.{{cite web}}: CS1 maint: unfit URL (link)
  4. 1 2 Valich, Theo. "AMD explains K8L misnomer". The Inquirer. Archived from the original on February 10, 2007. Retrieved 2007-03-16.{{cite news}}: CS1 maint: unfit URL (link)
  5. Official Announcement of "AMD Next Generation Processor Technology"
  6. Video interview of Giuseppe Amato (AMD's Technical Director, Sales and Marketing EMEA) Archived 2009-07-12 at archive.today in February 2007
  7. Microprocessor Forum 2003 presentation slide
  8. AMD's vision for next few years - an interview with Henri Richard
  9. "AMD Demonstrates Its Quad Core Server Chips". CNET.com. 2006-11-30.
  10. "AMD Demonstrates Barcelona; The First True, Native Quad Core Opteron". legitreviews.com. 2006-11-30.
  11. "AMD Expects Quad Core Barcelona to Outperform Clovertown by 40%". dailytech.com. 2007-01-25. Archived from the original on 2007-02-27. Retrieved 2007-04-19.
  12. "Go to 'Barcelona' over 'Cloverton'". CNET.com. 2007-01-23.
  13. "TGDaily report". Archived from the original on 2007-09-26. Retrieved 2007-05-11.
  14. "Understanding AMD's TLB Processor Bug". Daily Tech. Archived 2009-02-18 at the Wayback Machine . December 5, 2007
  15. "TLB Bug – in the Past". Xbit Labs. Archived 2009-02-09 at the Wayback Machine . March 26, 2008
  16. "An AMD Update: Fab 36 Begins Shipments, Planning for 65 nm process and AM2 Performance". AnandTech. 2006-04-04.
  17. "AMD's next-generation Star supports DDR2-1066 & SSE4a". HKEPC Hardware. Retrieved 2007-03-19.
  18. Shimpi, Anand Lal. "Barcelona Architecture: AMD on the Counterattack". AnandTech. Archived from the original on 19 March 2007. Retrieved 2007-03-18.
  19. Case, Loyd. "AMD Unveils Barcelona Quad-Core Details". Ziff Davis. Retrieved 2007-03-18.[ dead link ]
  20. "AMD Next Generation Processor Technology Slides". HardOCP. 2006-08-22.
  21. "BIOS and Kernel Developer's Guide (BKDG) For AMD Family 10h Processors" (PDF). p. 24. Archived from the original (PDF) on June 9, 2011. Retrieved 2010-05-29. Physical address space increased to 48 bits.
  22. "BIOS and Kernel Developer's Guide (BKDG) for AMD Family 15h Models 10h-1Fh Processors" (PDF). support.amd.com. Advanced Micro Devices. June 4, 2013. p. 340. Retrieved January 25, 2015.
  23. In this article, the conventional prefixes for computer memory denote base-2 values whereby "kilobyte" (KB) = 210 bytes.
  24. 1 2 3 4 5 6 7 8 9 "List of Unlockable AMD CPUs".
  25. "Legit Reviews - Technology News & Reviews". 27 June 2022.
  26. 1 2 "AMD Athlon II Key Architectural Features". Advanced Micro Devices. Archived from the original on December 2, 2010. Retrieved July 8, 2010.
  27. Athlon II: Viele neue Exemplare der neuen Einsteiger-Prozessoren von AMD
  28. In arrivo nuovi processori Athlon II da AMD Archived July 10, 2011, at the Wayback Machine
  29. "AMD Phenom II X6: Thuban the Dragon". Archived from the original on 2014-07-16. Retrieved 2018-03-29.
  30. Theo Valich (28 May 2012). "AMD Comes Clean on Transistor Numbers With FX, Fusion Processors" . Retrieved 23 August 2013.
  31. Anand Lal Shimpi (27 September 2012). "AMD A10-5800K & A8-5600K Review: Trinity on the Desktop, Part 1" . Retrieved 23 August 2013.
  32. "AMD launches A-Series and the first 32nm Athlon II X4 CPUs" . Retrieved 2013-11-10.
  33. "The 2009 AMD Mainstream Platform". Amd.com. Archived from the original on 2012-05-27. Retrieved 2014-04-30.
  34. 1 2 "AMD M880G Chipset". Amd.com. Retrieved 2014-04-30.
  35. "The 2010 AMD Mainstream Platform". Amd.com. Retrieved 2014-04-30.
  36. "The 2010 AMD Ultrathin Platform". Amd.com. Archived from the original on 2012-10-31. Retrieved 2014-04-30.
  37. David Kanter (27 June 2011). "AMD Fusion Architecture and Llano". Real World Tech. Retrieved 12 September 2015.
  38. Pierre Boudier; Graham Sellers (June 2011). "Memory System on Fusion APUs - The Benefits of Zero Copy" (PDF). AMD Fusion Developer Summit.