Transistor count

Last updated

The transistor count is the number of transistors in an electronic device (typically on a single substrate or "chip"). It is the most common measure of integrated circuit complexity (although the majority of transistors in modern microprocessors are contained in cache memories, which consist mostly of the same memory cell circuits replicated many times). The rate at which MOS transistor counts have increased generally follows Moore's law, which observes that transistor count doubles approximately every two years. [1] However, being directly proportional to the area of a chip, transistor count does not represent how advanced the corresponding manufacturing technology is: a better indication of this is transistor density (the ratio of a chip's transistor count to its area).

Contents

As of 2023, the highest transistor count in flash memory is Micron's 2  terabyte (3D-stacked) 16-die, 232-layer V-NAND flash memory chip, with 5.3 trillion floating-gate MOSFETs (3 bits per transistor).

The highest transistor count in a single chip processor as of 2020 is that of the deep learning processor Wafer Scale Engine 2 by Cerebras. It has 2.6 trillion MOSFETs in 84 exposed fields (dies) on a wafer, manufactured using TSMC's 7 nm FinFET process. [2] [3] [4] [5] [6]

As of 2024, the GPU with the highest transistor count is Nvidia's GB200 Grace Blackwell, built on TSMC's 4 nm process and totalling 208 billion MOSFETs.

The highest transistor count in a consumer microprocessor as of June 2023 is 134 billion transistors, in Apple's ARM-based dual-die M2 Ultra system on a chip, which is fabricated using TSMC's 5 nm semiconductor manufacturing process. [7]

YearComponentNameNumber of MOSFETs
(in trillions)
Remarks
2022Flash memoryMicron's V-NAND chip5.3 stacked package of sixteen 232-layer 3D NAND dies
2020any processor Wafer Scale Engine 2 2.6 wafer-scale design of 84 exposed fields (dies)
2024 GPU GB200 Grace Blackwell0.208
2023microprocessor
(commercial)
M2 Ultra 0.134dual-die SoC; entire M2 Ultra is a multi-chip module
2020 DLP Colossus Mk2 GC200 0.059An IPU in contrast to CPU and GPU

In terms of computer systems that consist of numerous integrated circuits, the supercomputer with the highest transistor count as of 2016 was the Chinese-designed Sunway TaihuLight, which has for all CPUs/nodes combined "about 400 trillion transistors in the processing part of the hardware" and "the DRAM includes about 12 quadrillion transistors, and that's about 97 percent of all the transistors." [8] To compare, the smallest computer, as of 2018 dwarfed by a grain of rice, had on the order of 100,000 transistors. Early experimental solid-state computers had as few as 130 transistors but used large amounts of diode logic. The first carbon nanotube computer had 178 transistors and was a 1-bit one-instruction set computer, while a later one is 16-bit (its instruction set is 32-bit RISC-V though).

Ionic transistor chips ("water-based" analog limited processor), have up to hundreds of such transistors. [9]

Estimates of the total numbers of transistors manufactured:

Transistor count

Plot of MOS transistor counts for microprocessors against dates of introduction. The curve shows counts doubling every two years, per Moore's law. Moore's Law Transistor Count 1970-2020.png
Plot of MOS transistor counts for microprocessors against dates of in­tro­duction. The curve shows counts doubling every two years, per Moore's law.

Microprocessors

A microprocessor incorporates the functions of a computer's central processing unit on a single integrated circuit. It is a multi-purpose, programmable device that accepts digital data as input, processes it according to instructions stored in its memory, and provides results as output.

The development of MOS integrated circuit technology in the 1960s led to the development of the first microprocessors. [12] The 20-bit MP944, developed by Garrett AiResearch for the U.S. Navy's F-14 Tomcat fighter in 1970, is considered by its designer Ray Holt to be the first microprocessor. [13] It was a multi-chip microprocessor, fabricated on six MOS chips. However, it was classified by the Navy until 1998. The 4-bit Intel 4004, released in 1971, was the first single-chip microprocessor.

Modern microprocessors typically include on-chip cache memories. The number of transistors used for these cache memories typically far exceeds the number of transistors used to implement the logic of the microprocessor (that is, excluding the cache). For example, the last DEC Alpha chip uses 90% of its transistors for cache. [14]

Processor Transistor countYearDesigner Process
(nm)
Area (mm 2)Transistor
density
(tr./mm2)
MP944 (20-bit, 6-chip, 28 chips total)74,442 (5,360 excl. ROM & RAM) [15] [16] 1970 [13] [lower-alpha 1] Garrett AiResearch ???
Intel 4004 (4-bit, 16-pin)2,2501971 Intel 10,000 nm 12 mm2188
TMX 1795 (8-bit, 24-pin)3,078 [17] 1971 Texas Instruments ?30.64 mm2100.5
Intel 8008 (8-bit, 18-pin)3,5001972Intel10,000 nm14 mm2250
NEC μCOM-4 (4-bit, 42-pin)2,500 [18] [19] 1973 NEC 7,500 nm [20] ??
Toshiba TLCS-12 (12-bit)11,000+ [21] 1973 Toshiba 6,000 nm 32 mm2340+
Intel 4040 (4-bit, 16-pin)3,0001974Intel10,000 nm12 mm2250
Motorola 6800 (8-bit, 40-pin)4,1001974 Motorola 6,000 nm16 mm2256
Intel 8080 (8-bit, 40-pin)6,0001974Intel6,000 nm20 mm2300
TMS 1000 (4-bit, 28-pin)8,000 [lower-alpha 2] 1974 [22] Texas Instruments8,000 nm11 mm2730
MOS Technology 6502 (8-bit, 40-pin)4,528 [lower-alpha 3] [23] 1975 MOS Technology 8,000 nm21 mm2216
Intersil IM6100 (12-bit, 40-pin; clone of PDP-8 )4,0001975 Intersil ???
CDP 1801 (8-bit, 2-chip, 40-pin)5,0001975 RCA ???
RCA 1802 (8-bit, 40-pin)5,0001976RCA5,000 nm27 mm2185
Zilog Z80 (8-bit, 4-bit ALU, 40-pin)8,500 [lower-alpha 4] 1976 Zilog 4,000 nm18 mm2470
Intel 8085 (8-bit, 40-pin)6,5001976Intel 3,000 nm 20 mm2325
TMS9900 (16-bit)8,0001976Texas Instruments???
Bellmac-8 (8-bit)7,0001977 Bell Labs 5,000 nm??
Motorola 6809 (8-bit with some 16-bit features, 40-pin)9,0001978Motorola5,000 nm21 mm2430
Intel 8086 (16-bit, 40-pin)29,000 [24] 1978Intel3,000 nm33 mm2880
Zilog Z8000 (16-bit)17,500 [25] 1979Zilog???
Intel 8088 (16-bit, 8-bit data bus)29,0001979Intel3,000 nm33 mm2880
Motorola 68000 (16/32-bit, 32-bit registers, 16-bit ALU )68,000 [26] 1979Motorola3,500 nm44 mm21,550
Intel 8051 (8-bit, 40-pin)50,0001980Intel???
WDC 65C02 11,500 [27] 1981 WDC 3,000 nm6 mm21,920
ROMP (32-bit)45,0001981 IBM 2,000 nm58.52 mm2770
Intel 80186 (16-bit, 68-pin)55,0001982Intel3,000 nm60 mm2920
Intel 80286 (16-bit, 68-pin)134,0001982Intel 1,500 nm 49 mm22,730
WDC 65C816 (8/16-bit)22,000 [28] 1983WDC3,000 nm [29] 9 mm22,400
NEC V20 63,0001984NEC???
Motorola 68020 (32-bit; 114 pins used)190,000 [30] 1984Motorola2,000 nm85 mm22,200
Intel 80386 (32-bit, 132-pin; no cache)275,0001985Intel1,500 nm104 mm22,640
ARM 1 (32-bit; no cache)25,000 [30] 1985 Acorn 3,000 nm50 mm2500
Novix NC4016 (16-bit)16,000 [31] 1985 [32] Harris Corporation 3,000 nm [33] ??
SPARC MB86900 (32-bit; no cache)110,000 [34] 1986 Fujitsu 1,200 nm??
NEC V60 [35] (32-bit; no cache)375,0001986NEC1,500 nm??
ARM 2 (32-bit, 84-pin; no cache)27,000 [36] [30] 1986Acorn2,000 nm30.25 mm2890
Z80000 (32-bit; very small cache)91,0001986Zilog???
NEC V70 [35] (32-bit; no cache)385,0001987NEC1,500 nm??
Hitachi Gmicro/200 [37] 730,0001987 Hitachi 1,000 nm ??
Motorola 68030 (32-bit, very small caches)273,0001987Motorola 800 nm 102 mm22,680
TI Explorer's 32-bit Lisp machine chip553,000 [38] 1987Texas Instruments2,000 nm [39] ??
DEC WRL MultiTitan180,000 [40] 1988 DEC WRL 1,500 nm61 mm22,950
Intel i960 (32-bit, 33-bit memory subsystem, no cache)250,000 [41] 1988Intel1,500 nm [42] ??
Intel i960CA (32-bit, cache)600,000 [42] 1989Intel800 nm143 mm24,200
Intel i860 (32/64-bit, 128-bit SIMD, cache, VLIW)1,000,000 [43] 1989Intel???
Intel 80486 (32-bit, 8 KB cache)1,180,2351989Intel1,000 nm173 mm26,822
ARM 3 (32-bit, 4 KB cache)310,0001989Acorn1,500 nm87 mm23,600
POWER1 (9-chip module, 72 kB of cache)6,900,000 [44] 1990IBM1,000 nm1,283.61 mm25,375
Motorola 68040 (32-bit, 8 KB caches)1,200,0001990Motorola650 nm152 mm27,900
R4000 (64-bit, 16 KB of caches)1,350,0001991 MIPS 1,000 nm213 mm26,340
ARM 6 (32-bit, no cache for this 60 variant)35,0001991 ARM 800 nm??
Hitachi SH-1 (32-bit, no cache)600,000 [45] 1992 [46] Hitachi800 nm100 mm26,000
Intel i960CF (32-bit, cache)900,000 [42] 1992Intel?125 mm27,200
Alpha 21064 (64-bit, 290-pin; 16 KB of caches)1,680,0001992 DEC 750 nm233.52 mm27,190
Hitachi HARP-1 (32-bit, cache)2,800,000 [47] 1993Hitachi500 nm267 mm210,500
Pentium (32-bit, 16 KB of caches)3,100,0001993Intel800 nm294 mm210,500
POWER2 (8-chip module, 288 kB of cache)23,037,000 [48] 1993IBM720 nm1,217.39 mm218,923
ARM700 (32-bit; 8 KB cache)578,977 [49] 1994ARM700 nm68.51 mm28,451
MuP21 (21-bit, [50] 40-pin; includes video)7,000 [51] 1994Offete Enterprises1,200 nm??
Motorola 68060 (32-bit, 16 KB of caches)2,500,0001994Motorola 600 nm 218 mm211,500
PowerPC 601 (32-bit, 32 KB of caches)2,800,000 [52] 1994 Apple, IBM, Motorola 600 nm121 mm223,000
PowerPC 603 (32-bit, 16 KB of caches)1,600,000 [53] 1994 Apple, IBM, Motorola 500 nm84.76 mm218,900
PowerPC 603e (32-bit, 32 KB of caches)2,600,000 [54] 1995 Apple, IBM, Motorola 500 nm98 mm226,500
Alpha 21164 EV5 (64-bit, 112 kB cache)9,300,000 [55] 1995DEC500 nm298.65 mm231,140
SA-110 (32-bit, 32 KB of caches)2,500,000 [30] 1995Acorn, DEC, Apple 350 nm 50 mm250,000
Pentium Pro (32-bit, 16 KB of caches; [56] L2 cache on-package, but on separate die)5,500,000 [57] 1995Intel500 nm307 mm218,000
PA-8000 64-bit, no cache3,800,000 [58] 1995HP500 nm337.69 mm211,300
Alpha 21164A EV56 (64-bit, 112 kB cache)9,660,000 [59] 1996DEC350 nm208.8 mm246,260
AMD K5 (32-bit, caches)4,300,0001996 AMD 500 nm251 mm217,000
Pentium II Klamath (32-bit, 64-bit SIMD, caches)7,500,0001997Intel350 nm195 mm239,000
AMD K6 (32-bit, caches)8,800,0001997AMD350 nm162 mm254,000
F21 (21-bit; includes e.g. video)15,0001997 [51] Offete Enterprises???
AVR (8-bit, 40-pin; w/memory)140,000 (48,000
excl. memory [60] )
1997 Nordic VLSI/Atmel ???
Pentium II Deschutes (32-bit, large cache)7,500,0001998Intel 250 nm 113 mm266,000
Alpha 21264 EV6 (64-bit)15,200,000 [61] 1998DEC350 nm313.96 mm248,400
Alpha 21164PC PCA57 (64-bit, 48 kB cache)5,700,0001998Samsung280 nm100.5 mm256,700
Hitachi SH-4 (32-bit, caches) [62] 3,200,000 [63] 1998Hitachi250 nm57.76 mm255,400
ARM 9TDMI (32-bit, no cache)111,000 [30] 1999Acorn350 nm4.8 mm223,100
Pentium III Katmai (32-bit, 128-bit SIMD, caches)9,500,0001999Intel250 nm128 mm274,000
Emotion Engine (64-bit, 128-bit SIMD, cache)10,500,000 [64]
– 13,500,000 [65]
1999 Sony, Toshiba 250 nm239.7 mm2 [64] 43,800
56,300
Pentium II Mobile Dixon (32-bit, caches)27,400,0001999Intel180 nm180 mm2152,000
AMD K6-III (32-bit, caches)21,300,0001999AMD250 nm118 mm2181,000
AMD K7 (32-bit, caches)22,000,0001999AMD250 nm184 mm2120,000
Gekko (32-bit, large cache)21,000,000 [66] 2000IBM, Nintendo 180 nm43 mm2490,000 (check)
Pentium III Coppermine (32-bit, large cache)21,000,0002000Intel180 nm80 mm2263,000
Pentium 4 Willamette (32-bit, large cache)42,000,0002000Intel180 nm217 mm2194,000
SPARC64 V (64-bit, large cache)191,000,000 [67] 2001Fujitsu 130 nm [68] 290 mm2659,000
Pentium III Tualatin (32-bit, large cache)45,000,0002001Intel130 nm81 mm2556,000
Pentium 4 Northwood (32-bit, large cache)55,000,0002002Intel130 nm145 mm2379,000
Itanium 2 McKinley (64-bit, large cache)220,000,0002002Intel180 nm421 mm2523,000
Alpha 21364 (64-bit, 946-pin, SIMD, very large caches)152,000,000 [14] 2003DEC180 nm397 mm2383,000
AMD K7 Barton (32-bit, large cache)54,300,0002003AMD130 nm101 mm2538,000
AMD K8 (64-bit, large cache)105,900,0002003AMD130 nm193 mm2548,700
Pentium M Banias (32-bit)77,000,000 [69] 2003Intel130 nm83 mm2928,000
Itanium 2 Madison 6M (64-bit)410,000,0002003Intel130 nm374 mm21,096,000
PlayStation 2 single chip (CPU + GPU)53,500,000 [70] 2003 [71] Sony, Toshiba90 nm [72]
130 nm [73] [74]
86 mm2622,100
Pentium 4 Prescott (32-bit, large cache)112,000,0002004Intel 90 nm 110 mm21,018,000
Pentium M Dothan (32-bit)144,000,000 [75] 2004Intel90 nm87 mm21,655,000
SPARC64 V+ (64-bit, large cache)400,000,000 [76] 2004Fujitsu90 nm294 mm21,360,000
Itanium 2 (64-bit;9  MB cache)592,000,0002004Intel130 nm432 mm21,370,000
Pentium 4 Prescott-2M (32-bit, large cache)169,000,0002005Intel90 nm143 mm21,182,000
Pentium D Smithfield (64-bit, large cache)228,000,0002005Intel90 nm206 mm21,107,000
Xenon (64-bit, 128-bit SIMD, large cache)165,000,0002005IBM90 nm??
Cell (32-bit, cache)250,000,000 [77] 2005Sony, IBM, Toshiba90 nm221 mm21,131,000
Pentium 4 Cedar Mill (32-bit, large cache)184,000,0002006Intel 65 nm 90 mm22,044,000
Pentium D Presler (64-bit, large cache)362,000,000 [78] 2006Intel65 nm162 mm22,235,000
Core 2 Duo Conroe (dual-core 64-bit, large caches)291,000,0002006Intel65 nm143 mm22,035,000
Dual-core Itanium 2 (64-bit, SIMD, large caches)1,700,000,000 [79] 2006Intel90 nm596 mm22,852,000
AMD K10 quad-core 2M L3 (64-bit, large caches)463,000,000 [80] 2007AMD65 nm283 mm21,636,000
ARM Cortex-A9 (32-bit, (optional) SIMD, caches)26,000,000 [81] 2007ARM45 nm31 mm2839,000
Core 2 Duo Wolfdale (dual-core 64-bit, SIMD, caches)411,000,0002007Intel45 nm107 mm23,841,000
POWER6 (64-bit, large caches)789,000,0002007IBM65 nm341 mm22,314,000
Core 2 Duo Allendale (dual-core 64-bit, SIMD, large caches)169,000,0002007Intel65 nm111 mm21,523,000
Uniphier 250,000,000 [82] 2007 Matsushita 45 nm??
SPARC64 VI (64-bit, SIMD, large caches)540,000,0002007 [83] Fujitsu90 nm421 mm21,283,000
Core 2 Duo Wolfdale 3M (dual-core 64-bit, SIMD, large caches)230,000,0002008Intel45 nm83 mm22,771,000
Core i7 (quad-core 64-bit, SIMD, large caches)731,000,0002008Intel45 nm263 mm22,779,000
AMD K10 quad-core 6M L3 (64-bit, SIMD, large caches)758,000,000 [80] 2008AMD45 nm258 mm22,938,000
Atom (32-bit, large cache)47,000,0002008Intel 45 nm 24 mm21,958,000
SPARC64 VII (64-bit, SIMD, large caches)600,000,0002008 [84] Fujitsu65 nm445 mm21,348,000
Six-core Xeon 7400 (64-bit, SIMD, large caches)1,900,000,0002008Intel45 nm503 mm23,777,000
Six-core Opteron 2400 (64-bit, SIMD, large caches)904,000,0002009AMD45 nm346 mm22,613,000
SPARC64 VIIIfx (64-bit, SIMD, large caches)760,000,000 [85] 2009Fujitsu45 nm513 mm21,481,000
Atom (Pineview) 64-bit, 1-core, 512 kB L2 cache123,000,000 [86] 2010Intel45 nm66 mm21,864,000
Atom (Pineview) 64-bit, 2-core, 1 MB L2 cache176,000,000 [87] 2010Intel45 nm87 mm22,023,000
SPARC T3 (16-core 64-bit, SIMD, large caches)1,000,000,000 [88] 2010 Sun/Oracle 40 nm377 mm22,653,000
Six-core Core i7 (Gulftown)1,170,000,0002010Intel32 nm240 mm24,875,000
POWER7 32M L3 (8-core 64-bit, SIMD, large caches)1,200,000,0002010IBM45 nm567 mm22,116,000
Quad-core z196 [89] (64-bit, very large caches)1,400,000,0002010IBM45 nm512 mm22,734,000
Quad-core Itanium Tukwila (64-bit, SIMD, large caches)2,000,000,000 [90] 2010Intel65 nm699 mm22,861,000
Xeon Nehalem-EX (8-core 64-bit, SIMD, large caches)2,300,000,000 [91] 2010Intel45 nm684 mm23,363,000
SPARC64 IXfx (64-bit, SIMD, large caches)1,870,000,000 [92] 2011Fujitsu40 nm484 mm23,864,000
Quad-core + GPU Core i7 (64-bit, SIMD, large caches)1,160,000,0002011Intel32 nm216 mm25,370,000
Six-core Core i7/8-core Xeon E5
(Sandy Bridge-E/EP) (64-bit, SIMD, large caches)
2,270,000,000 [93] 2011Intel32 nm434 mm25,230,000
Xeon Westmere-EX (10-core 64-bit, SIMD, large caches)2,600,000,0002011Intel32 nm512 mm25,078,000
Atom "Medfield" (64-bit)432,000,000 [94] 2012Intel 32 nm 64 mm26,750,000
SPARC64 X (64-bit, SIMD, caches)2,990,000,000 [95] 2012Fujitsu28 nm600 mm24,983,000
AMD Bulldozer (8-core 64-bit, SIMD, caches)1,200,000,000 [96] 2012AMD32 nm315 mm23,810,000
Quad-core + GPU AMD Trinity (64-bit, SIMD, caches)1,303,000,0002012AMD32 nm246 mm25,297,000
Quad-core + GPU Core i7 Ivy Bridge (64-bit, SIMD, caches)1,400,000,0002012Intel 22 nm 160 mm28,750,000
POWER7+ (8-core 64-bit, SIMD, 80 MB L3 cache)2,100,000,0002012IBM32 nm567 mm23,704,000
Six-core zEC12 (64-bit, SIMD, large caches)2,750,000,0002012IBM32 nm597 mm24,606,000
Itanium Poulson (8-core 64-bit, SIMD, caches)3,100,000,0002012Intel32 nm544 mm25,699,000
Xeon Phi (61-core 32-bit, 512-bit SIMD, caches)5,000,000,000 [97] 2012Intel22 nm720 mm26,944,000
Apple A7 (dual-core 64/32-bit ARM64, "mobile SoC", SIMD, caches)1,000,000,0002013 Apple 28 nm102 mm29,804,000
Six-core Core i7 Ivy Bridge E (64-bit, SIMD, caches)1,860,000,0002013Intel22 nm256 mm27,266,000
POWER8 (12-core 64-bit, SIMD, caches)4,200,000,0002013IBM22 nm650 mm26,462,000
Xbox One main SoC (64-bit, SIMD, caches)5,000,000,0002013 Microsoft, AMD28 nm363 mm213,770,000
Quad-core + GPU Core i7 Haswell (64-bit, SIMD, caches)1,400,000,000 [98] 2014Intel22 nm177 mm27,910,000
Apple A8 (dual-core 64/32-bit ARM64 "mobile SoC", SIMD, caches)2,000,000,0002014Apple20 nm89 mm222,470,000
Core i7 Haswell-E (8-core 64-bit, SIMD, caches)2,600,000,000 [99] 2014Intel22 nm355 mm27,324,000
Apple A8X (tri-core 64/32-bit ARM64 "mobile SoC", SIMD, caches)3,000,000,000 [100] 2014Apple20 nm128 mm223,440,000
Xeon Ivy Bridge-EX (15-core 64-bit, SIMD, caches)4,310,000,000 [101] 2014Intel22 nm541 mm27,967,000
Xeon Haswell-E5 (18-core 64-bit, SIMD, caches)5,560,000,000 [102] 2014Intel22 nm661 mm28,411,000
Quad-core + GPU GT2 Core i7 Skylake K (64-bit, SIMD, caches)1,750,000,0002015Intel 14 nm 122 mm214,340,000
Dual-core + GPU Iris Core i7 Broadwell-U (64-bit, SIMD, caches)1,900,000,000 [103] 2015Intel14 nm133 mm214,290,000
Apple A9 (dual-core 64/32-bit ARM64 "mobile SoC", SIMD, caches)2,000,000,000+2015Apple14 nm
(Samsung)
96 mm2
(Samsung)
20,800,000+
16 nm
(TSMC)
104.5 mm2
(TSMC)
19,100,000+
Apple A9X (dual core 64/32-bit ARM64 "mobile SoC", SIMD, caches)3,000,000,000+2015Apple16 nm143.9 mm220,800,000+
IBM z13 (64-bit, caches)3,990,000,0002015IBM22 nm678 mm25,885,000
IBM z13 Storage Controller 7,100,000,0002015IBM22 nm678 mm210,472,000
SPARC M7 (32-core 64-bit, SIMD, caches)10,000,000,000 [104] 2015Oracle20 nm??
Core i7 Broadwell-E (10-core 64-bit, SIMD, caches)3,200,000,000 [105] 2016Intel14 nm246 mm2 [106] 13,010,000
Apple A10 Fusion (quad-core 64/32-bit ARM64 "mobile SoC", SIMD, caches)3,300,000,0002016Apple16 nm125 mm226,400,000
HiSilicon Kirin 960 (octa-core 64/32-bit ARM64 "mobile SoC", SIMD, caches)4,000,000,000 [107] 2016 Huawei 16 nm110.00 mm236,360,000
Xeon Broadwell-E5 (22-core 64-bit, SIMD, caches)7,200,000,000 [108] 2016Intel14 nm456 mm215,790,000
Xeon Phi (72-core 64-bit, 512-bit SIMD, caches)8,000,000,0002016Intel14 nm683 mm211,710,000
Zip CPU (32-bit, for FPGAs)1,286 6-LUTs [109] 2016Gisselquist Technology???
Qualcomm Snapdragon 835 (octa-core 64/32-bit ARM64 "mobile SoC", SIMD, caches)3,000,000,000 [110] [111] 2016 Qualcomm 10 nm 72.3 mm241,490,000
Apple A11 Bionic (hexa-core 64/32-bit ARM64 "mobile SoC", SIMD, caches)4,300,000,0002017Apple10 nm89.23 mm248,190,000
AMD Zen CCX (core complex unit: 4 cores, 8 MB L3 cache)1,400,000,000 [112] 2017AMD14 nm
(GF 14LPP)
44 mm231,800,000
AMD Zeppelin SoC Ryzen (64-bit, SIMD, caches)4,800,000,000 [113] 2017AMD14 nm192 mm225,000,000
AMD Ryzen 5 1600 Ryzen (64-bit, SIMD, caches)4,800,000,000 [114] 2017AMD14 nm213 mm222,530,000
IBM z14 (64-bit, SIMD, caches)6,100,000,0002017IBM14 nm696 mm28,764,000
IBM z14 Storage Controller (64-bit)9,700,000,0002017IBM14 nm696 mm213,940,000
HiSilicon Kirin 970 (octa-core 64/32-bit ARM64 "mobile SoC", SIMD, caches)5,500,000,000 [115] 2017Huawei10 nm96.72 mm256,900,000
Xbox One X (Project Scorpio) main SoC (64-bit, SIMD, caches)7,000,000,000 [116] 2017Microsoft, AMD16 nm360 mm2 [116] 19,440,000
Xeon Platinum 8180 (28-core 64-bit, SIMD, caches)8,000,000,000 [117] 2017Intel14 nm??
Xeon (unspecified)7,100,000,000 [118] 2017Intel14 nm672 mm210,570,000
POWER9 (64-bit, SIMD, caches)8,000,000,0002017IBM14 nm695 mm211,500,000
Freedom U500 Base Platform Chip (E51, 4×U54) RISC-V (64-bit, caches)250,000,000 [119] 2017 SiFive 28 nm~30 mm28,330,000
SPARC64 XII (12-core 64-bit, SIMD, caches)5,450,000,000 [120] 2017Fujitsu20 nm795 mm26,850,000
Apple A10X Fusion (hexa-core 64/32-bit ARM64 "mobile SoC", SIMD, caches)4,300,000,000 [121] 2017Apple10 nm96.40 mm244,600,000
Centriq 2400 (64/32-bit, SIMD, caches)18,000,000,000 [122] 2017Qualcomm10 nm398 mm245,200,000
AMD Epyc (32-core 64-bit, SIMD, caches)19,200,000,0002017AMD14 nm768 mm225,000,000
Qualcomm Snapdragon 845 (octa-core 64/32-bit ARM64 "mobile SoC", SIMD, caches)5,300,000,000 [123] 2017Qualcomm10 nm94 mm256,400,000
Qualcomm Snapdragon 850 (octa-core 64/32-bit ARM64 "mobile SoC", SIMD, caches)5,300,000,000 [124] 2017Qualcomm10 nm94 mm256,400,000
HiSilicon Kirin 710 (octa-core ARM64 "mobile SoC", SIMD, caches)5,500,000,000 [125] 2018Huawei12 nm??
Apple A12 Bionic (hexa-core ARM64 "mobile SoC", SIMD, caches)6,900,000,000
[126] [127]
2018Apple7 nm83.27 mm282,900,000
HiSilicon Kirin 980 (octa-core ARM64 "mobile SoC", SIMD, caches)6,900,000,000 [128] 2018Huawei7 nm74.13 mm293,100,000
Qualcomm Snapdragon 8cx / SCX8180 (octa-core ARM64 "mobile SoC", SIMD, caches)8,500,000,000 [129] 2018Qualcomm7 nm112 mm275,900,000
Apple A12X Bionic (octa-core 64/32-bit ARM64 "mobile SoC", SIMD, caches)10,000,000,000 [130] 2018Apple7 nm122 mm282,000,000
Fujitsu A64FX (64/32-bit, SIMD, caches)8,786,000,000 [131] 2018 [132] Fujitsu7 nm??
Tegra Xavier SoC (64/32-bit)9,000,000,000 [133] 2018 Nvidia 12 nm350 mm225,700,000
Qualcomm Snapdragon 855 (octa-core 64/32-bit ARM64 "mobile SoC", SIMD, caches)6,700,000,000 [134] 2018Qualcomm7 nm73 mm291,800,000
AMD Zen 2 core (0.5 MB L2 + 4 MB L3 cache)475,000,000 [135] 2019AMD7 nm7.83 mm260,664,000
AMD Zen 2 CCX (core complex: 4 cores, 16 MB L3 cache)1,900,000,000 [135] 2019AMD7 nm31.32 mm260,664,000
AMD Zen 2 CCD (core complex die: 8 cores, 32 MB L3 cache)3,800,000,000 [135] 2019AMD7 nm74 mm251,350,000
AMD Zen 2 client I/O die2,090,000,000 [135] 2019AMD12 nm125 mm216,720,000
AMD Zen 2 server I/O die8,340,000,000 [135] 2019AMD12 nm416 mm220,050,000
AMD Zen 2 Renoir die9,800,000,000 [135] 2019AMD7 nm156 mm262,820,000
AMD Ryzen 7 3700X (64-bit, SIMD, caches, I/O die)5,990,000,000 [136] [lower-alpha 5] 2019AMD7 & 12 nm
(TSMC)
199 
(74+125) mm2
30,100,000
HiSilicon Kirin 990 4G8,000,000,000 [137] 2019Huawei7 nm90.00 mm289,000,000
Apple A13 (hexa-core 64-bit ARM64 "mobile SoC", SIMD, caches)8,500,000,000
[138] [139]
2019Apple7 nm98.48 mm286,300,000
IBM z15 CP chip (12 cores, 256 MB L3 cache)9,200,000,000 [140] 2019IBM14 nm696 mm213,220,000
IBM z15 SC chip (960 MB L4 cache)12,200,000,0002019IBM14 nm696 mm217,530,000
AMD Ryzen 9 3900X (64-bit, SIMD, caches, I/O die)9,890,000,000
[141] [142]
2019AMD7 & 12 nm
(TSMC)
273 mm236,230,000
HiSilicon Kirin 990 5G10,300,000,000 [143] 2019Huawei7 nm113.31 mm290,900,000
AWS Graviton2 (64-bit, 64-core ARM-based, SIMD, caches) [144] [145] 30,000,000,0002019 Amazon 7 nm??
AMD Epyc Rome (64-bit, SIMD, caches)39,540,000,000
[141] [142]
2019AMD7 & 12 nm
(TSMC)
1,008 mm239,226,000
Qualcomm Snapdragon 865 (octa-core 64/32-bit ARM64 "mobile SoC", SIMD, caches)10,300,000,000 [146] 2019Qualcomm7 nm83.54 mm2 [147] 123,300,000
TI Jacinto TDA4VM (ARM A72, DSP, SRAM)3,500,000,000 [148] 2020Texas Instruments16 nm??
Apple A14 Bionic (hexa-core 64-bit ARM64 "mobile SoC", SIMD, caches)11,800,000,000 [149] 2020Apple 5 nm 88 mm2134,100,000
Apple M1 (octa-core 64-bit ARM64 SoC, SIMD, caches)16,000,000,000 [150] 2020Apple 5 nm 119 mm2134,500,000
HiSilicon Kirin 9000 15,300,000,000
[151] [152]
2020Huawei 5 nm 114 mm2134,200,000
AMD Zen 3 CCX (core complex unit: 8 cores, 32 MB L3 cache)4,080,000,000 [153] 2020AMD7 nm68 mm260,000,000
AMD Zen 3 CCD (core complex die)4,150,000,000 [153] 2020AMD7 nm81 mm251,230,000
Core 11th gen Rocket Lake (8-core 64-bit, SIMD, large caches)6,000,000,000+ [154] 2021Intel14 nm +++ 14 nm 276 mm2 [155] 37,500,000 or 21,800,000+ [156]
AMD Ryzen 7 5800H (64-bit, SIMD, caches, I/O and GPU)10,700,000,000 [157] 2021AMD 7 nm 180 mm259,440,000
AMD Epyc 7763 (Milan) (64-core, 64-bit)?2021AMD7 & 12 nm
(TSMC)
1,064 mm2
(8×81+416) [158]
?
Apple A15 15,000,000,000
[159] [160]
2021Apple 5 nm 107.68 mm2139,300,000
Apple M1 Pro (10-core, 64-bit)33,700,000,000 [161] 2021Apple5 nm245 mm2 [162] 137,600,000
Apple M1 Max (10-core, 64-bit)57,000,000,000
[163] [161]
2021Apple5 nm420.2 mm2 [164] 135,600,000
Power10 dual-chip module (30 SMT8 cores or 60 SMT4 cores)36,000,000,000 [165] 2021IBM7 nm1,204 mm229,900,000
Dimensity 9000 (ARM64 SoC)15,300,000,000
[166] [167]
2021Mediatek 4 nm
(TSMC N4)
??
Apple A16 (ARM64 SoC)16,000,000,000
[168] [169] [170]
2022Apple 4 nm ??
Apple M1 Ultra (dual-chip module, 2×10 cores)114,000,000,000
[171] [172]
2022Apple5 nm840.5 mm2 [164] 135,600,000
AMD Epyc 7773X (Milan-X) (multi-chip module, 64 cores, 768 MB L3 cache)26,000,000,000 + Milan [173] 2022AMD7 & 12 nm
(TSMC)
1,352 mm2
(Milan + 8×36) [173]
?
IBM Telum dual-chip module (2×8 cores, 2×256 MB cache)45,000,000,000
[174] [175]
2022IBM7 nm (Samsung)1,060 mm242,450,000
Apple M2 (deca-core 64-bit ARM64 SoC, SIMD, caches)20,000,000,000 [176] 2022Apple 5 nm ??
Dimensity 9200 (ARM64 SoC)17,000,000,000
[177] [178] [179]
2022Mediatek 4 nm
(TSMC N4P)
??
Qualcomm Snapdragon 8 Gen 2 (octa-core ARM64 "mobile SoC", SIMD, caches)16,000,000,0002022Qualcomm4 nm268 mm259,701,492
AMD EPYC Genoa (4th gen/9004 series) 13-chip module (up to 96 cores and 384 MB (L3) + 96 MB (L2) cache) [180] 90,000,000,000
[181] [182]
2022AMD5 nm (CCD)
6 nm (IOD)
1,263.34 mm2
12×72.225 (CCD)
396.64 (IOD)
[183] [184]
71,240,000
HiSilicon Kirin 9000s 9,510,000,000 [185] 2023Huawei 7 nm 107 mm2107,690,000
Apple M3 (octa-core 64-bit ARM64 SoC, SIMD, caches)25,000,000,000 [186] 2023Apple 3 nm ??
Apple M3 Pro (dodeca-core 64-bit ARM64 SoC, SIMD, caches)37,000,000,000 [186] 2023Apple 3 nm ??
Apple M3 Max (hexadeca-core 64-bit ARM64 SoC, SIMD, caches)92,000,000,000 [186] 2023Apple 3 nm ??
Apple A17 19,000,000,000
[187]
2023Apple 3 nm 103.8 mm2183,044,315
Sapphire Rapids quad-chip module (up to 60 cores and 112.5 MB of cache) [188] 44,000,000,000–
48,000,000,000 [189]
2023Intel 10 nm ESF (Intel 7)1,600 mm227,500,000–
30,000,000
Apple M2 Pro (12-core 64-bit ARM64 SoC, SIMD, caches)40,000,000,000 [190] 2023Apple 5 nm ??
Apple M2 Max (12-core 64-bit ARM64 SoC, SIMD, caches)67,000,000,000 [190] 2023Apple 5 nm ??
Apple M2 Ultra (two M2 Max dies)134,000,000,000 [7] 2023Apple 5 nm ??
AMD Epyc Bergamo (4th gen/97X4 series) 9-chip module (up to 128 cores and 256 MB (L3) + 128 MB (L2) cache)82,000,000,000 [191] 2023AMD5 nm (CCD)
6 nm (IOD)
??
AMD Instinct MI300A (multi-chip module, 24 cores, 128 GB GPU memory + 256 MB (LLC/L3) cache)146,000,000,000 [192] [193] 2023AMD5 nm (CCD, GCD)
6 nm (IOD)
1,017 mm2?
Processor Transistor countYearDesigner Process
(nm)
Area (mm 2)Transistor
density
(tr./mm2)

GPUs

A graphics processing unit (GPU) is a specialized electronic circuit designed to rapidly manipulate and alter memory to accelerate the building of images in a frame buffer intended for output to a display.

The designer refers to the technology company that designs the logic of the integrated circuit chip (such as Nvidia and AMD). The manufacturer ("Fab.") refers to the semiconductor company that fabricates the chip using its semiconductor manufacturing process at a foundry (such as TSMC and Samsung Semiconductor). The transistor count in a chip is dependent on a manufacturer's fabrication process, with smaller semiconductor nodes typically enabling higher transistor density and thus higher transistor counts.

The random-access memory (RAM) that comes with GPUs (such as VRAM, SGRAM or HBM) greatly increases the total transistor count, with the memory typically accounting for the majority of transistors in a graphics card. For example, Nvidia's Tesla P100 has 15 billion FinFETs (16 nm) in the GPU in addition to 16  GB of HBM2 memory, totaling about 150 billion MOSFETs on the graphics card. [194] The following table does not include the memory. For memory transistor counts, see the Memory section below.

ProcessorTransistor countYearDesigner(s) Fab(s) Process AreaTransistor
density
(tr./mm2)
Ref
μPD7220 GDC 40,0001982 NEC NEC 5,000 nm ?? [195]
ARTC HD6348460,0001984 Hitachi Hitachi ??? [196]
CBM Agnus 21,0001985 Commodore CSG 5,000 nm?? [197] [198]
YM7101 VDP 100,0001988 Yamaha, Sega Yamaha ??? [199]
Tom & Jerry 750,0001993 Flare IBM ??? [199]
VDP1 1,000,0001994SegaHitachi 500 nm ?? [200] [201]
Sony GPU 1,000,0001994 Toshiba LSI 500 nm?? [202] [203] [204]
NV1 1,000,0001995 Nvidia, Sega SGS 500 nm90 mm211,000 [200]
Reality Coprocessor 2,600,0001996 SGI NEC 350 nm 81 mm232,100 [205]
PowerVR 1,200,0001996 VideoLogic NEC350 nm?? [206]
Voodoo Graphics 1,000,0001996 3dfx TSMC 500 nm?? [207] [208]
Voodoo Rush 1,000,00019973dfxTSMC500 nm?? [207] [208]
NV3 3,500,0001997 Nvidia SGS, TSMC350 nm90 mm238,900 [209] [210]
i740 3,500,0001998 Intel, Real3D Real3D 350 nm?? [207] [208]
Voodoo 2 4,000,00019983dfxTSMC350 nm??
Voodoo Rush 4,000,00019983dfxTSMC350 nm??
NV4 7,000,0001998NvidiaTSMC350 nm90 mm278,000 [207] [210]
PowerVR2 CLX2 10,000,0001998VideoLogicNEC 250 nm 116 mm286,200 [211] [212] [213] [214]
PowerVR2 PMX1 6,000,0001999VideoLogicNEC250 nm?? [215]
Rage 128 8,000,0001999 ATI TSMC, UMC 250 nm70 mm2114,000 [208]
Voodoo 3 8,100,00019993dfxTSMC250 nm?? [216]
Graphics Synthesizer 43,000,0001999 Sony, Toshiba Sony, Toshiba 180 nm 279 mm2154,000 [66] [217] [65] [64]
NV5 15,000,0001999NvidiaTSMC250 nm90 mm2167,000 [208]
NV10 17,000,0001999NvidiaTSMC220 nm111 mm2153,000 [218] [210]
NV11 20,000,0002000NvidiaTSMC180 nm65 mm2308,000 [208]
NV15 25,000,0002000NvidiaTSMC180 nm81 mm2309,000 [208]
Voodoo 4 14,000,00020003dfxTSMC220 nm?? [207] [208]
Voodoo 5 28,000,00020003dfxTSMC220 nm?? [207] [208]
R100 30,000,0002000ATITSMC180 nm97 mm2309,000 [208]
Flipper 51,000,0002000 ArtX NEC180 nm106 mm2481,000 [66] [219]
PowerVR3 KYRO 14,000,0002001 Imagination ST 250 nm?? [207] [208]
PowerVR3 KYRO II 15,000,0002001ImaginationST180 nm
NV2A 60,000,0002001NvidiaTSMC 150 nm ?? [207] [220]
NV20 57,000,0002001NvidiaTSMC150 nm128 mm2445,000 [208]
NV25 63,000,0002002NvidiaTSMC150 nm142 mm2444,000
NV28 36,000,0002002NvidiaTSMC150 nm101 mm2356,000
NV17/18 29,000,0002002NvidiaTSMC150 nm65 mm2446,000
R200 60,000,0002001ATITSMC150 nm68 mm2882,000
R300 107,000,0002002ATITSMC150 nm218 mm2490,800
R360117,000,0002003ATITSMC150 nm218 mm2536,700
NV34 45,000,0002003NvidiaTSMC150 nm124 mm2363,000
NV34b 45,000,0002004NvidiaTSMC140 nm91 mm2495,000
NV30 125,000,0002003NvidiaTSMC 130 nm 199 mm2628,000
NV31 80,000,0002003NvidiaTSMC 130 nm 121 mm2661,000
NV35/38 135,000,0002003NvidiaTSMC 130 nm 207 mm2652,000
NV36 82,000,0002003NvidiaIBM 130 nm 133 mm2617,000
R480160,000,0002004ATITSMC130 nm297 mm2538,700
NV40 222,000,0002004NvidiaIBM130 nm305 mm2727,900
NV44 75,000,0002004NvidiaIBM130 nm110 mm2681,800
NV41 222,000,0002005NvidiaTSMC110 nm225 mm2986,700 [208]
NV42 198,000,0002005NvidiaTSMC110 nm222 mm2891,900
NV43 146,000,0002005NvidiaTSMC110 nm154 mm2948,100
G70 303,000,0002005NvidiaTSMC, Chartered 110 nm333 mm2909,900
Xenos 232,000,0002005ATITSMC 90 nm 182 mm21,275,000 [221] [222]
RSX Reality Synthesizer 300,000,0002005Nvidia, Sony Sony 90 nm186 mm21,613,000 [223] [224]
R520 321,000,0002005ATITSMC90 nm288 mm21,115,000 [208]
RV530 157,000,0002005ATITSMC90 nm150 mm21,047,000
RV515 107,000,0002005ATITSMC90 nm100 mm21,070,000
R580 384,000,0002006ATITSMC90 nm352 mm21,091,000
G71 278,000,0002006NvidiaTSMC90 nm196 mm21,418,000
G72 112,000,0002006NvidiaTSMC90 nm81 mm21,383,000
G73 177,000,0002006NvidiaTSMC90 nm125 mm21,416,000
G80 681,000,0002006NvidiaTSMC90 nm480 mm21,419,000
G86 Tesla210,000,0002007NvidiaTSMC80 nm127 mm21,654,000
G84 Tesla289,000,0002007NvidiaTSMC80 nm169 mm21,710,000
RV560 330,000,0002006ATITSMC80 nm230 mm21,435,000
R600 700,000,0002007ATITSMC80 nm420 mm21,667,000
RV610 180,000,0002007ATITSMC65 nm85 mm22,118,000 [208]
RV630 390,000,0002007ATITSMC65 nm153 mm22,549,000
G92 754,000,0002007NvidiaTSMC, UMC 65 nm 324 mm22,327,000
G94 Tesla505,000,0002008NvidiaTSMC65 nm240 mm22,104,000
G96 Tesla314,000,0002008NvidiaTSMC65 nm144 mm22,181,000
G98 Tesla210,000,0002008NvidiaTSMC65 nm86 mm22,442,000
GT200 [225] 1,400,000,0002008NvidiaTSMC65 nm576 mm22,431,000
RV620181,000,0002008ATITSMC55 nm67 mm22,701,000 [208]
RV635378,000,0002008ATITSMC55 nm135 mm22,800,000
RV710242,000,0002008ATITSMC55 nm73 mm23,315,000
RV730514,000,0002008ATITSMC55 nm146 mm23,521,000
RV670666,000,0002008ATITSMC55 nm192 mm23,469,000
RV770956,000,0002008ATITSMC55 nm256 mm23,734,000
RV790 959,000,0002008ATITSMC55 nm282 mm23,401,000 [226] [208]
G92b Tesla754,000,0002008NvidiaTSMC, UMC55 nm260 mm22,900,000 [208]
G94b Tesla505,000,0002008NvidiaTSMC, UMC55 nm196 mm22,577,000
G96b Tesla314,000,0002008NvidiaTSMC, UMC55 nm121 mm22,595,000
GT200b Tesla1,400,000,0002008NvidiaTSMC, UMC55 nm470 mm22,979,000
GT218 Tesla260,000,0002009NvidiaTSMC 40 nm 57 mm24,561,000 [208]
GT216 Tesla486,000,0002009NvidiaTSMC40 nm100 mm24,860,000
GT215 Tesla727,000,0002009NvidiaTSMC40 nm144 mm25,049,000
RV740826,000,0002009ATITSMC40 nm137 mm26,029,000
Cypress RV870 2,154,000,0002009ATITSMC40 nm334 mm26,449,000
Juniper RV8401,040,000,0002009ATITSMC40 nm166 mm26,265,000
Redwood RV830627,000,0002010AMD (ATI)TSMC40 nm104 mm26,029,000 [208]
Cedar RV810292,000,0002010AMDTSMC40 nm59 mm24,949,000
Cayman RV970 2,640,000,0002010AMDTSMC40 nm389 mm26,789,000
Barts RV9401,700,000,0002010AMDTSMC40 nm255 mm26,667,000
Turks RV930716,000,0002011AMDTSMC40 nm118 mm26,068,000
Caicos RV910370,000,0002011AMDTSMC40 nm67 mm25,522,000
GF100 Fermi3,200,000,0002010NvidiaTSMC40 nm526 mm26,084,000 [227]
GF110 Fermi3,000,000,0002010NvidiaTSMC40 nm520 mm25,769,000 [227]
GF104 Fermi1,950,000,0002011NvidiaTSMC40 nm332 mm25,873,000 [208]
GF106 Fermi1,170,000,0002010NvidiaTSMC40 nm238 mm24,916,000 [208]
GF108 Fermi585,000,0002011NvidiaTSMC40 nm116 mm25,043,000 [208]
GF119 Fermi292,000,0002011NvidiaTSMC40 nm79 mm23,696,000 [208]
Tahiti GCN1 4,312,711,8732011AMDTSMC 28 nm 365 mm211,820,000 [228]
Cape Verde GCN1 1,500,000,0002012AMDTSMC28 nm123 mm212,200,000 [208]
Pitcairn GCN1 2,800,000,0002012AMDTSMC28 nm212 mm213,210,000 [208]
GK110 Kepler 7,080,000,0002012NvidiaTSMC28 nm561 mm212,620,000 [229] [230]
GK104 Kepler 3,540,000,0002012NvidiaTSMC28 nm294 mm212,040,000 [231]
GK106 Kepler2,540,000,0002012NvidiaTSMC28 nm221 mm211,490,000 [208]
GK107 Kepler1,270,000,0002012NvidiaTSMC28 nm118 mm210,760,000 [208]
GK208 Kepler1,020,000,0002013NvidiaTSMC28 nm79 mm212,910,000 [208]
Oland GCN1 1,040,000,0002013AMDTSMC28 nm90 mm211,560,000 [208]
Bonaire GCN2 2,080,000,0002013AMDTSMC28 nm160 mm213,000,000
Durango (Xbox One)4,800,000,0002013AMDTSMC28 nm375 mm212,800,000 [232] [233]
Liverpool (PlayStation 4)?2013AMDTSMC28 nm348 mm2? [234]
Hawaii GCN2 6,300,000,0002013AMDTSMC28 nm438 mm214,380,000 [208]
GM200 Maxwell 8,000,000,0002015NvidiaTSMC28 nm601 mm213,310,000
GM204 Maxwell 5,200,000,0002014NvidiaTSMC28 nm398 mm213,070,000
GM206 Maxwell 2,940,000,0002014NvidiaTSMC28 nm228 mm212,890,000
GM107 Maxwell 1,870,000,0002014NvidiaTSMC28 nm148 mm212,640,000
Tonga GCN3 5,000,000,0002014AMDTSMC, GlobalFoundries 28 nm366 mm213,660,000
Fiji GCN3 8,900,000,0002015AMDTSMC28 nm596 mm214,930,000
Durango 2 (Xbox One S)5,000,000,0002016AMDTSMC16 nm240 mm220,830,000 [235]
Neo (PlayStation 4 Pro)5,700,000,0002016AMDTSMC16 nm325 mm217,540,000 [236]
Ellesmere/Polaris 10 GCN4 5,700,000,0002016AMDSamsung, GlobalFoundries14 nm232 mm224,570,000 [237]
Baffin/Polaris 11 GCN4 3,000,000,0002016AMD Samsung, GlobalFoundries 14 nm 123 mm224,390,000 [208] [238]
Lexa/Polaris 12 GCN4 2,200,000,0002017AMDSamsung, GlobalFoundries14 nm101 mm221,780,000 [208] [238]
GP100 Pascal 15,300,000,0002016NvidiaTSMC, Samsung16 nm610 mm225,080,000 [239] [240]
GP102 Pascal 11,800,000,0002016NvidiaTSMC, Samsung16 nm471 mm225,050,000 [208] [240]
GP104 Pascal 7,200,000,0002016NvidiaTSMC16 nm314 mm222,930,000 [208] [240]
GP106 Pascal 4,400,000,0002016NvidiaTSMC16 nm200 mm222,000,000 [208] [240]
GP107 Pascal 3,300,000,0002016NvidiaSamsung14 nm132 mm225,000,000 [208] [240]
GP108 Pascal 1,850,000,0002017Nvidia Samsung 14 nm74 mm225,000,000 [208] [240]
Scorpio (Xbox One X)6,600,000,0002017AMDTSMC16 nm367 mm217,980,000 [232] [241]
Vega 10 GCN5 12,500,000,0002017AMDSamsung, GlobalFoundries14 nm484 mm225,830,000 [242]
GV100 Volta 21,100,000,0002017NvidiaTSMC 12 nm 815 mm225,890,000 [243]
TU102 Turing 18,600,000,0002018NvidiaTSMC12 nm754 mm224,670,000 [244]
TU104 Turing 13,600,000,0002018NvidiaTSMC12 nm545 mm224,950,000
TU106 Turing 10,800,000,0002018NvidiaTSMC12 nm445 mm224,270,000
TU116 Turing 6,600,000,0002019NvidiaTSMC12 nm284 mm223,240,000 [245]
TU117 Turing 4,700,000,0002019NvidiaTSMC12 nm200 mm223,500,000 [246]
Vega 20 GCN5 13,230,000,0002018AMDTSMC 7 nm 331 mm239,970,000 [208]
Navi 10 RDNA 10,300,000,0002019AMDTSMC7 nm251 mm241,040,000 [247]
Navi 12 RDNA ?2020AMDTSMC7 nm??
Navi 14 RDNA 6,400,000,0002019AMDTSMC7 nm158 mm240,510,000 [248]
Arcturus CDNA 25,600,000,0002020AMDTSMC7 nm750 mm234,100,000 [249]
GA100 Ampere 54,200,000,0002020NvidiaTSMC7 nm826 mm265,620,000 [250] [251]
GA102 Ampere 28,300,000,0002020NvidiaSamsung8 nm628 mm245,035,000 [252] [253]
GA103 Ampere 22,000,000,0002022NvidiaSamsung8 nm496 mm244,400,000 [254]
GA104 Ampere 17,400,000,0002020NvidiaSamsung8 nm392 mm244,390,000 [255]
GA106 Ampere 12,000,000,0002021NvidiaSamsung8 nm276 mm243,480,000 [256]
GA107 Ampere 8,700,000,0002021NvidiaSamsung8 nm200 mm243,500,000 [257]
Navi 21 RDNA2 26,800,000,0002020AMDTSMC7 nm520 mm251,540,000
Navi 22 RDNA2 17,200,000,0002021AMDTSMC7 nm335 mm251,340,000
Navi 23 RDNA2 11,060,000,0002021AMDTSMC7 nm237 mm246,670,000
Navi 24 RDNA2 5,400,000,0002022AMDTSMC6 nm107 mm250,470,000
Aldebaran CDNA2 58,200,000,000 (MCM)2021AMDTSMC6 nm14481474 mm2 [258]
1480 mm2 [259]
14901580 mm2 [260]
39,500,00040,200,000
39,200,000
36,800,00039,100,000
[261]
GH100 Hopper 80,000,000,0002022NvidiaTSMC 4 nm 814 mm298,280,000 [262]
AD102 Ada Lovelace 76,300,000,0002022NvidiaTSMC4 nm608.4 mm2125,411,000 [263]
AD103 Ada Lovelace 45,900,000,0002022NvidiaTSMC4 nm378.6 mm2121,240,000 [264]
AD104 Ada Lovelace 35,800,000,0002022NvidiaTSMC4 nm294.5 mm2121,560,000 [264]
AD106 Ada Lovelace ?2023NvidiaTSMC4 nm190 mm2? [265] [266]
AD107 Ada Lovelace ?2023NvidiaTSMC4 nm146 mm2? [265] [267]
Navi 31 RDNA3 57,700,000,000 (MCM)
45,400,000,000 (GCD)
6×2,050,000,000 (MCD)
2022AMDTSMC5 nm (GCD)
6 nm (MCD)
531 mm2 (MCM)
306 mm2 (GCD)
6×37.5 mm2 (MCD)
109,200,000 (MCM)
132,400,000 (GCD)
54,640,000 (MCD)
[268] [269] [270]
Navi 32 RDNA3 28,100,000,000 (MCM)2023AMDTSMC5 nm (GCD)
6 nm (MCD)
350 mm2 (MCM)
200 mm2 (GCD)
4×37.5 mm2 (MCD)
80,200,000 (MCM) [271]
Navi 33 RDNA3 13,300,000,0002023AMDTSMC6 nm204 mm265,200,000 [272]
Aqua Vanjaram CDNA3 153,000,000,000 (MCM)2023AMDTSMC5 nm (GCD)
6 nm (MCD)
?? [273] [274]
GB200 Grace Blackwell 208,000,000,0002024NvidiaTSMC4 nm ?? [275]
ProcessorTransistor countYearDesigner(s) Fab(s) MOS process AreaTransistor
density
(tr./mm2)
Ref

FPGA

A field-programmable gate array (FPGA) is an integrated circuit designed to be configured by a customer or a designer after manufacturing.

FPGA Transistor countDate of introductionDesignerManufacturer Process AreaTransistor density, tr./mm2Ref
Virtex 70,000,0001997 Xilinx
Virtex-E 200,000,0001998Xilinx
Virtex-II 350,000,0002000Xilinx130 nm
Virtex-II PRO 430,000,0002002Xilinx
Virtex-4 1,000,000,0002004Xilinx90 nm
Virtex-5 1,100,000,0002006Xilinx TSMC 65 nm [276]
Stratix IV2,500,000,0002008 Altera TSMC40 nm [277]
Stratix V3,800,000,0002011AlteraTSMC28 nm [278]
Arria 105,300,000,0002014AlteraTSMC20 nm [279]
Virtex-7 2000T6,800,000,0002011XilinxTSMC28 nm [280]
Stratix 10 SX 280017,000,000,000TBDIntelIntel14 nm560 mm230,400,000 [281] [282]
Virtex-Ultrascale VU44020,000,000,000Q1 2015XilinxTSMC20 nm [283] [284]
Virtex-Ultrascale+ VU19P35,000,000,0002020XilinxTSMC16 nm900 mm2 [lower-alpha 6] 38,900,000 [285] [286] [287]
Versal VC190237,000,000,0002H 2019XilinxTSMC 7 nm [288] [289] [290]
Stratix 10 GX 10M43,300,000,000Q4 2019IntelIntel 14 nm 1,400 mm2 [lower-alpha 6] 30,930,000 [291] [292]
Versal VP180292,000,000,0002021 ? [lower-alpha 7] XilinxTSMC 7 nm [293] [294]

Memory

Semiconductor memory is an electronic data storage device, often used as computer memory, implemented on integrated circuits. Nearly all semiconductor memories since the 1970s have used MOSFETs (MOS transistors), replacing earlier bipolar junction transistors. There are two major types of semiconductor memory: random-access memory (RAM) and non-volatile memory (NVM). In turn, there are two major RAM types: dynamic random-access memory (DRAM) and static random-access memory (SRAM), as well as two major NVM types: flash memory and read-only memory (ROM).

Typical CMOS SRAM consists of six transistors per cell. For DRAM, 1T1C, which means one transistor and one capacitor structure, is common. Capacitor charged or not[ clarification needed ] is used to store 1 or 0. In flash memory, the data is stored in floating gates, and the resistance of the transistor is sensed[ clarification needed ] to interpret the data stored. Depending on how fine scale the resistance could be separated[ clarification needed ], one transistor could store up to three bits, meaning eight distinctive levels of resistance possible per transistor. However, a finer scale comes with the cost of repeatability issues, and hence reliability. Typically, low grade 2-bits MLC flash is used for flash drives, so a 16  GB flash drive contains roughly 64 billion transistors.

For SRAM chips, six-transistor cells (six transistors per bit) was the standard. [295] DRAM chips during the early 1970s had three-transistor cells (three transistors per bit), before single-transistor cells (one transistor per bit) became standard since the era of 4  Kb DRAM in the mid-1970s. [296] [297] In single-level flash memory, each cell contains one floating-gate MOSFET (one transistor per bit), [298] whereas multi-level flash contains 2, 3 or 4 bits per transistor.

Flash memory chips are commonly stacked up in layers, up to 128-layer in production, [299] and 136-layer managed, [300] and available in end-user devices up to 69-layer from manufacturers.

Random-access memory (RAM)
Chip nameCapacity (bits)RAM typeTransistor countDate of introductionManufacturer(s) Process AreaTransistor
density
(tr./mm2)
Ref
1-bit SRAM (cell)61963 Fairchild ? [301]
1-bit DRAM (cell)11965 Toshiba ? [302] [303]
? 8-bit SRAM (bipolar)481965 SDS, Signetics ??? [301]
SP95 16-bit SRAM (bipolar)801965 IBM ??? [304]
TMC316216-bitSRAM (TTL)961966 Transitron ?? [297]
??SRAM (MOS)?1966 NEC ??? [296]
256-bit DRAM (IC)2561968Fairchild??? [297]
64-bit SRAM (PMOS)3841968Fairchild??? [296]
144-bitSRAM (NMOS)8641968 NEC
1101 256-bit SRAM (PMOS)1,5361969 Intel 12,000 nm?? [305] [306] [307]
1102 1 Kb DRAM (PMOS)3,0721970 Intel, Honeywell ??? [296]
1103 1 KbDRAM (PMOS)3,0721970Intel8,000 nm 10 mm2307 [308] [295] [309] [297]
μPD4031 KbDRAM (NMOS)3,0721971NEC??? [310]
?2 KbDRAM (PMOS)6,1441971 General Instrument ?12.7 mm2484 [311]
21021 KbSRAM (NMOS)6,1441972Intel??? [305] [312]
?8 KbDRAM (PMOS)8,1921973IBM?18.8 mm2436 [311]
51011 KbSRAM (CMOS)6,1441974Intel??? [305]
211616 KbDRAM (NMOS)16,3841975Intel??? [313] [297]
21144 KbSRAM (NMOS)24,5761976Intel??? [305] [314]
?4 KbSRAM (CMOS)24,5761977Toshiba??? [306]
64 KbDRAM (NMOS)65,5361977 NTT ?35.4 mm21851 [311]
DRAM (VMOS)65,5361979 Siemens ?25.2 mm22601 [311]
16 KbSRAM (CMOS)98,3041980 Hitachi, Toshiba??? [315]
256 KbDRAM (NMOS)262,1441980NEC1,500 nm41.6 mm26302 [311]
NTT1,000 nm34.4 mm27620 [311]
64 KbSRAM (CMOS)393,2161980 Matsushita ??? [315]
288 KbDRAM294,9121981IBM?25 mm211,800 [316]
64 KbSRAM (NMOS)393,2161982Intel1,500 nm?? [315]
256 KbSRAM (CMOS)1,572,8641984Toshiba1,200 nm?? [315] [307]
8 Mb DRAM8,388,608January 5, 1984 Hitachi ??? [317] [318]
16 MbDRAM (CMOS)16,777,2161987NTT700 nm148 mm2113,400 [311]
4 MbSRAM (CMOS)25,165,8241990NEC, Toshiba, Hitachi, Mitsubishi ??? [315]
64 MbDRAM (CMOS)67,108,8641991 Matsushita, Mitsubishi, Fujitsu, Toshiba400 nm
KM48SL200016 Mb SDRAM 16,777,2161992 Samsung ??? [319] [320]
?16 MbSRAM (CMOS)100,663,2961992Fujitsu, NEC400 nm?? [315]
256 MbDRAM (CMOS)268,435,4561993Hitachi, NEC250 nm
1 Gb DRAM1,073,741,824January 9, 1995NEC250 nm?? [321] [322]
Hitachi160 nm??
SDRAM1,073,741,8241996 Mitsubishi 150 nm?? [315]
SDRAM (SOI)1,073,741,8241997 Hyundai ??? [323]
4 GbDRAM (4-bit)1,073,741,8241997NEC150 nm?? [315]
DRAM4,294,967,2961998Hyundai??? [323]
8 GbSDRAM (DDR3)8,589,934,592April 2008Samsung50 nm?? [324]
16 GbSDRAM (DDR3)17,179,869,1842008
32 GbSDRAM (HBM2)34,359,738,3682016Samsung20 nm?? [325]
64 GbSDRAM (HBM2)68,719,476,7362017
128 GbSDRAM (DDR4)137,438,953,4722018Samsung10 nm?? [326]
? RRAM [327] (3DSoC) [328] ?2019 SkyWater Technology [329] 90 nm??
Flash memory
Chip nameCapacity (bits)Flash type FGMOS transistor countDate of introductionManufacturer(s) Process AreaTransistor
density
(tr./mm2)
Ref
?256 Kb NOR 262,1441985 Toshiba 2,000 nm?? [315]
1 Mb NOR1,048,5761989 Seeq, Intel ?
4 Mb NAND 4,194,3041989Toshiba1,000 nm
16 MbNOR16,777,2161991 Mitsubishi 600 nm
DD28F032SA32 MbNOR33,554,4321993Intel?280 mm2120,000 [305] [330]
?64 MbNOR67,108,8641994 NEC 400 nm?? [315]
NAND67,108,8641996 Hitachi
128 MbNAND134,217,7281996 Samsung, Hitachi?
256 MbNAND268,435,4561999 Hitachi, Toshiba250 nm
512 MbNAND536,870,9122000Toshiba??? [331]
1 Gb 2-bit NAND536,870,9122001Samsung??? [315]
Toshiba, SanDisk 160 nm?? [332]
2 GbNAND2,147,483,6482002Samsung, Toshiba??? [333] [334]
8 GbNAND8,589,934,5922004Samsung60 nm?? [333]
16 GbNAND17,179,869,1842005Samsung50 nm?? [335]
32 GbNAND34,359,738,3682006Samsung40 nm
THGAM128 Gb Stacked NAND128,000,000,000April 2007Toshiba56 nm252 mm2507,900,000 [336]
THGBM256 GbStacked NAND256,000,000,0002008Toshiba43 nm353 mm2725,200,000 [337]
THGBM21 Tb Stacked 4-bit NAND256,000,000,0002010Toshiba32 nm374 mm2684,500,000 [338]
KLMCG8GE4A512 GbStacked 2-bit NAND256,000,000,0002011Samsung?192 mm21,333,000,000 [339]
KLUFG8R1EM4 TbStacked 3-bit V-NAND 1,365,333,333,5042017Samsung?150 mm29,102,000,000 [340]
eUFS (1 TB)8 TbStacked 4-bit V-NAND2,048,000,000,0002019Samsung?150 mm213,650,000,000 [341] [342]
?1 Tb232L TLC NAND die333,333,333,3332022Micron?68.5 mm2
(memory array)
4,870,000,000
(14.6 Gbit/mm2)
[343] [344] [345] [346]
?16 Tb232L package5,333,333,333,3332022Micron?68.5 mm2
(memory array)
77,900,000,000
(16×14.6 Gbit/mm2)
Read-only memory (ROM)
Chip nameCapacity (bits)ROM typeTransistor countDate of introductionManufacturer(s) Process AreaRef
?? PROM ?1956 Arma ? [347] [348]
1 Kb ROM (MOS)1,0241965 General Microelectronics ?? [349]
33011 KbROM (bipolar)1,0241969 Intel ? [349]
17022 Kb EPROM (MOS)2,0481971Intel?15 mm2 [350]
?4 KbROM (MOS)4,0961974 AMD, General Instrument ?? [349]
27088 KbEPROM (MOS)8,1921975Intel?? [305]
?2 Kb EEPROM (MOS)2,0481976 Toshiba ?? [351]
μCOM-43 ROM16 KbPROM (PMOS)16,0001977 NEC ?? [352]
271616 KbEPROM (TTL)16,3841977Intel? [308] [353]
EA8316F16 KbROM (NMOS)16,3841978 Electronic Arrays ?436 mm2 [349] [354]
273232 Kb EPROM 32,7681978Intel?? [305]
236464 KbROM65,5361978Intel?? [355]
276464 KbEPROM65,5361981Intel3,500 nm ? [305] [315]
27128128 KbEPROM131,0721982Intel?
27256256 KbEPROM (HMOS)262,1441983Intel?? [305] [356]
?256 KbEPROM (CMOS)262,1441983 Fujitsu ?? [357]
512 KbEPROM (NMOS)524,2881984 AMD 1,700 nm? [315]
27512512 KbEPROM (HMOS)524,2881984Intel?? [305] [358]
?1 Mb EPROM (CMOS)1,048,5761984NEC1,200 nm? [315]
4 MbEPROM (CMOS)4,194,3041987Toshiba800 nm
16 MbEPROM (CMOS)16,777,2161990NEC600 nm
MROM 16,777,2161995 AKM, Hitachi ?? [322]

Transistor computers

Part of an IBM 7070 card cage populated with Standard Modular System cards IBM 7070.jpg
Part of an IBM 7070 card cage populated with Standard Modular System cards

Before transistors were invented, relays were used in commercial tabulating machines and experimental early computers. The world's first working programmable, fully automatic digital computer, [359] the 1941 Z3 22-bit word length computer, had 2,600 relays, and operated at a clock frequency of about 4–5  Hz. The 1940 Complex Number Computer had fewer than 500 relays, [360] but it was not fully programmable. The earliest practical computers used vacuum tubes and solid-state diode logic. ENIAC had 18,000 vacuum tubes, 7,200 crystal diodes, and 1,500 relays, with many of the vacuum tubes containing two triode elements.

The second generation of computers were transistor computers that featured boards filled with discrete transistors, solid-state diodes and magnetic memory cores. The experimental 1953 48-bit Transistor Computer, developed at the University of Manchester, is widely believed to be the first transistor computer to come into operation anywhere in the world (the prototype had 92 point-contact transistors and 550 diodes). [361] A later version the 1955 machine had a total of 250 junction transistors and 1,300 point-contact diodes. The Computer also used a small number of tubes in its clock generator, so it was not the first fully transistorized. The ETL Mark III, developed at the Electrotechnical Laboratory in 1956, may have been the first transistor-based electronic computer using the stored program method. It had about "130 point-contact transistors and about 1,800 germanium diodes were used for logic elements, and these were housed on 300 plug-in packages which could be slipped in and out." [362] The 1958 decimal architecture IBM 7070 was the first transistor computer to be fully programmable. It had about 30,000 alloy-junction germanium transistors and 22,000 germanium diodes, on approximately 14,000 Standard Modular System (SMS) cards. The 1959 MOBIDIC, short for "MOBIle DIgital Computer", at 12,000 pounds (6.0 short tons) mounted in the trailer of a semi-trailer truck, was a transistorized computer for battlefield data.

The third generation of computers used integrated circuits (ICs). [363] The 1962 15-bit Apollo Guidance Computer used "about 4,000 "Type-G" (3-input NOR gate) circuits" for about 12,000 transistors plus 32,000 resistors. [364] The IBM System/360, introduced 1964, used discrete transistors in hybrid circuit packs. [363] The 1965 12-bit PDP-8 CPU had 1409 discrete transistors and over 10,000 diodes, on many cards. Later versions, starting with the 1968 PDP-8/I, used integrated circuits. The PDP-8 was later reimplemented as a microprocessor as the Intersil 6100, see below. [365]

The next generation of computers were the microcomputers, starting with the 1971 Intel 4004, which used MOS transistors. These were used in home computers or personal computers (PCs).

This list includes early transistorized computers (second generation) and IC-based computers (third generation) from the 1950s and 1960s.

ComputerTransistor countYearManufacturerNotesRef
Transistor Computer 921953 University of Manchester Point-contact transistors, 550 diodes. Lacked stored program capability. [361]
TRADIC 7001954 Bell Labs Point-contact transistors [361]
Transistor Computer (full size)2501955University of Manchester Discrete point-contact transistors, 1,300 diodes [361]
IBM 608 3,0001955 IBM Germanium transistors [366]
ETL Mark III1301956 Electrotechnical Laboratory Point-contact transistors, 1,800 diodes, stored program capability [361] [362]
Metrovick 950 2001956 Metropolitan-Vickers Discrete junction transistors
NEC NEAC-22016001958 NEC Germanium transistors [367]
Hitachi MARS-11,0001958 Hitachi [368]
IBM 7070 30,0001958 IBM Alloy-junction germanium transistors, 22,000 diodes [369]
Matsushita MADIC-I4001959 Matsushita Bipolar transistors [370]
NEC NEAC-22032,5791959NEC [371]
Toshiba TOSBAC-21005,0001959 Toshiba [372]
IBM 7090 50,0001959IBMDiscrete germanium transistors [373]
PDP-1 2,7001959 Digital Equipment Corporation Discrete transistors
Olivetti Elea 9003 ?1959 Olivetti 300,000 (?) discrete transistors and diodes [374]
Mitsubishi MELCOM 11013,5001960 Mitsubishi Germanium transistors [375]
M18 FADAC 1,6001960 Autonetics Discrete transistors
CPU of IBM 7030 Stretch 169,1001961 IBM World's fastest computer from 1961 to 1964 [376]
D-17B 1,5211962AutoneticsDiscrete transistors
NEC NEAC-L216,0001964NECGe transistors [377]
CDC 6600 (entire computer)400,0001964 Control Data Corporation World's fastest computer from 1964 to 1969 [378]
IBM System/360 ?1964IBM Hybrid circuits
PDP-8 "Straight-8" 1,409 [365] 1965 Digital Equipment Corporation discrete transistors, 10,000 diodes
PDP-8/S 1,001 [379] [380] [381] 1966 Digital Equipment Corporation discrete transistors, diodes
PDP-8/I 1,409[ citation needed ]1968 [382] Digital Equipment Corporation 74 series TTL circuits [383]
Apollo Guidance Computer Block I12,3001966 Raytheon / MIT Instrumentation Laboratory 4,100 ICs, each containing a 3-transistor, 3-input NOR gate. (Block II had 2,800 dual 3-input NOR gates ICs.)

Logic functions

Transistor count for generic logic functions is based on static CMOS implementation. [384]

FunctionTransistor countRef
NOT 2
Buffer4
NAND 2-input 4
NOR 2-input 4
AND 2-input 6
OR 2-input 6
NAND 3-input 6
NOR 3-input 6
XOR 2-input 6
XNOR 2-input 8
MUX 2-input with TG 6
MUX 4-input with TG 18
NOT MUX 2-input 8
MUX 4-input 24
1-bit full adder 24
1-bit adder–subtractor 48
AND-OR-INVERT 6 [385]
Latch, D gated 8
Flip-flop, edge triggered dynamic D with reset 12
8-bit multiplier3,000
16-bit multiplier9,000
32-bit multiplier21,000[ citation needed ]
small-scale integration 2–100 [386]
medium-scale integration 100–500 [386]
large-scale integration 500–20,000 [386]
very-large-scale integration 20,000–1,000,000 [386]
ultra-large scale integration>1,000,000

Parallel systems

Historically, each processing element in earlier parallel systems—like all CPUs of that time—was a serial computer built out of multiple chips. As transistor counts per chip increases, each processing element could be built out of fewer chips, and then later each multi-core processor chip could contain more processing elements. [387]

Goodyear MPP: (1983?) 8 pixel processors per chip, 3,000 to 8,000 transistors per chip. [387]

Brunel University Scape (single-chip array-processing element): (1983) 256 pixel processors per chip, 120,000 to 140,000 transistors per chip. [387]

Cell Broadband Engine: (2006) with 9 cores per chip, had 234 million transistors per chip. [388]

Other devices

Device typeDevice nameTransistor countDate of introductionDesigner(s) Manufacturer(s) MOS process AreaTransistor density, tr./mm2Ref
Deep learning engine / IPU [lower-alpha 8] Colossus GC223,600,000,0002018 Graphcore TSMC 16 nm~800 mm229,500,000 [389] [390] [391] [ better source needed ]
Deep learning engine / IPUWafer Scale Engine1,200,000,000,0002019Cerebras TSMC 16 nm46,225 mm225,960,000 [2] [3] [4] [5]
Deep learning engine / IPUWafer Scale Engine 22,600,000,000,0002020Cerebras TSMC 7 nm46,225 mm256,250,000 [6] [392] [393]
Network switchNVLink4 NVSwitch25,100,000,0002022Nvidia TSMC N4 (4 nm)294 mm285,370,000 [394]

Transistor density

The transistor density is the number of transistors that are fabricated per unit area, typically measured in terms of the number of transistors per square millimeter (mm2). The transistor density usually correlates with the gate length of a semiconductor node (also known as a semiconductor manufacturing process), typically measured in nanometers (nm). As of 2019, the semiconductor node with the highest transistor density is TSMC's 5 nanometer node, with 171.3 million transistors per square millimeter (note this corresponds to a transistor-transistor spacing of 76.4 nm, far greater than the relative meaningless "5nm") [395]

MOSFET nodes

Semiconductor nodes
Node nameTransistor density (transistors/mm2)Production year Process MOSFET Manufacturer(s)Ref
??196020,000 nm PMOS Bell Labs [396] [397]
??196020,000 nm NMOS
??1963? CMOS Fairchild [398]
??1964?PMOS General Microelectronics [399]
??196820,000 nmCMOS RCA [400]
??196912,000 nmPMOS Intel [315] [307]
??1970 10,000 nm CMOSRCA [400]
?30019708,000 nmPMOSIntel [309] [297]
??197110,000 nmPMOSIntel [401]
?4801971?PMOS General Instrument [311]
??1973?NMOS Texas Instruments [311]
?2201973?NMOS Mostek [311]
??19737,500 nmNMOS NEC [20] [19]
??1973 6,000 nm PMOS Toshiba [21] [402]
??19765,000 nmNMOS Hitachi, Intel [311]
??19765,000 nmCMOSRCA
??19764,000 nmNMOS Zilog
??1976 3,000 nm NMOSIntel [403]
?1,8501977?NMOS NTT [311]
??19783,000 nmCMOS Hitachi [404]
??19782,500 nmNMOS Texas Instruments [311]
??19782,000 nmNMOSNEC, NTT
?2,6001979? VMOS Siemens
?7,2801979 1,000 nm NMOSNTT
?7,62019801,000 nmNMOSNTT
??19832,000 nmCMOSToshiba [315]
??1983 1,500 nm CMOSIntel [311]
??19831,200 nmCMOSIntel
??1984 800 nm CMOSNTT
??1987700 nmCMOS Fujitsu
??1989 600 nm CMOS Mitsubishi, NEC, Toshiba [315]
??1989 500 nm CMOSHitachi, Mitsubishi, NEC, Toshiba
??1991400 nmCMOS Matsushita, Mitsubishi, Fujitsu, Toshiba
??1993 350 nm CMOS Sony
??1993 250 nm CMOSHitachi, NEC
3LM32,0001994350 nmCMOSNEC [205]
??1995160 nmCMOSHitachi [315]
??1996150 nmCMOS Mitsubishi
TSMC 180 nm?1998 180 nm CMOS TSMC [405]
CS80?1999180 nmCMOSFujitsu [406]
??1999 180 nm CMOSIntel, Sony, Toshiba [305] [217]
CS85?1999170 nmCMOSFujitsu [407]
Samsung 140 nm?1999140 nmCMOS Samsung [315]
??2001 130 nm CMOSFujitsu, Intel [406] [305]
Samsung 100 nm?2001100 nmCMOSSamsung [315]
??2002 90 nm CMOSSony, Toshiba, Samsung [217] [333]
CS100?200390 nmCMOSFujitsu [406]
Intel 90 nm1,450,000200490 nmCMOSIntel [408] [305]
Samsung 80 nm?200480 nmCMOSSamsung [409]
??2004 65 nm CMOSFujitsu, Toshiba [410]
Samsung 60 nm?200460 nmCMOSSamsung [333]
TSMC 45 nm?2004 45 nm CMOSTSMC
Elpida 90 nm?200590 nmCMOS Elpida Memory [411]
CS200?200565 nmCMOSFujitsu [412] [406]
Samsung 50 nm?200550 nmCMOSSamsung [335]
Intel 65 nm2,080,000200665 nmCMOSIntel [408]
Samsung 40 nm?2006 40 nm CMOSSamsung [335]
Toshiba 56 nm?200756 nmCMOS Toshiba [336]
Matsushita 45 nm?200745 nmCMOS Matsushita [82]
Intel 45 nm3,300,000200845 nmCMOSIntel [413]
Toshiba 43 nm?200843 nmCMOSToshiba [337]
TSMC 40 nm?200840 nmCMOSTSMC [414]
Toshiba 32 nm?2009 32 nm CMOSToshiba [415]
Intel 32 nm7,500,000201032 nmCMOSIntel [413]
??2010 20 nm CMOS Hynix, Samsung [416] [335]
Intel 22 nm15,300,0002012 22 nm CMOSIntel [413]
IMFT 20 nm?201220 nmCMOS IMFT [417]
Toshiba 19 nm?201219 nmCMOSToshiba
Hynix 16 nm?2013 16 nm FinFET SK Hynix [416]
TSMC 16 nm 28,880,000201316 nmFinFETTSMC [418] [419]
Samsung 10 nm 51,820,0002013 10 nm FinFETSamsung [420] [421]
Intel 14 nm 37,500,0002014 14 nm FinFETIntel [413]
14LP 32,940,000201514 nmFinFETSamsung [420]
TSMC 10 nm 52,510,000201610 nmFinFETTSMC [418] [422]
12LP 36,710,0002017 12 nm FinFET GlobalFoundries, Samsung [238]
N7FF 96,500,000

101,850,000 [423]

2017 7 nm FinFETTSMC [424] [425] [426]
8LPP61,180,00020188 nmFinFETSamsung [420]
7LPE 95,300,00020187 nmFinFETSamsung [425]
Intel 10 nm 100,760,000

106,100,000 [423]

201810 nmFinFETIntel [427]
5LPE 126,530,000

133,560,000 [423] 134,900,000 [428]

2018 5 nm FinFETSamsung [429] [430]
N7FF+ 113,900,00020197 nmFinFETTSMC [424] [425]
CLN5FF 171,300,000

185,460,000 [423]

20195 nmFinFETTSMC [395]
Intel 7 100,760,000

106,100,000 [423]

20217 nmFinFETIntel
4LPE 145,700,000 [428] 20214 nmFinFETSamsung [431] [432] [433]
N4 196,600,000 [423] [434] 20214 nmFinFETTSMC [435]
N4P 196,600,000 [423] [434] 20224 nmFinFETTSMC [436]
3GAE 202,850,000 [423] 20223 nm MBCFET Samsung [437] [431] [438]
N3 314,730,000 [423] 2022 3 nm FinFETTSMC [439] [440]
N4X ?2023 4 nm FinFETTSMC [441] [442] [443]
N3E ?2023 3 nm FinFETTSMC [440] [444]
3GAP ?20233 nm MBCFET Samsung [431]
Intel 4 160,000,000 [445] 20234 nmFinFETIntel [446] [447] [448]
Intel 3 ?20233 nmFinFETIntel [447] [448]
Intel 20A ?2024 2 nm RibbonFET Intel [447] [448]
Intel 18A?2025sub-2 nm RibbonFET Intel [447]
2GAP ?20252 nm MBCFET Samsung [431]
N2 ?20252 nm GAAFET TSMC [440] [444]
Samsung 1.4 nm?20271.4 nm?Samsung [449]

See also

Notes

  1. Declassified 1998
  2. The TMS1000 is a microcontroller, the transistor count includes memory and input/output controllers, not just the CPU.
  3. 3,510 without depletion mode pull-up transistors
  4. 6,813 without depletion mode pull-up transistors
  5. 3,900,000,000 core chiplet die, 2,090,000,000 I/O die
  6. 1 2 Estimate
  7. Versal Premium are confirmed to be shipping in 1H 2021 but nothing was mentioned about the VP1802 in particular. Usually Xilinx makes separate news for the release of its biggest devices so the VP1802 is likely to be released later.
  8. "Intelligence Processing Unit"

Related Research Articles

<span class="mw-page-title-main">AMD</span> American multinational semiconductor company

Advanced Micro Devices, Inc. (AMD) is an American multinational corporation and semiconductor company based in Santa Clara, California, that develops computer processors and related technologies for business and consumer markets.

<span class="mw-page-title-main">Moore's law</span> Observation on the growth of integrated circuit capacity

Moore's law is the observation that the number of transistors in an integrated circuit (IC) doubles about every two years. Moore's law is an observation and projection of a historical trend. Rather than a law of physics, it is an empirical relationship linked to gains from experience in production.

<span class="mw-page-title-main">Flash memory</span> Electronic non-volatile computer storage device

Flash memory is an electronic non-volatile computer memory storage medium that can be electrically erased and reprogrammed. The two main types of flash memory, NOR flash and NAND flash, are named for the NOR and NAND logic gates. Both use the same cell design, consisting of floating gate MOSFETs. They differ at the circuit level depending on whether the state of the bit line or word lines is pulled high or low: in NAND flash, the relationship between the bit line and the word lines resembles a NAND gate; in NOR flash, it resembles a NOR gate.

<span class="mw-page-title-main">Graphics processing unit</span> Specialized electronic circuit; graphics accelerator

A graphics processing unit (GPU) is a specialized electronic circuit initially designed to accelerate computer graphics and image processing. After their initial design, GPUs were found to be useful for non-graphic calculations involving embarrassingly parallel problems due to their parallel structure. Other non-graphical uses include the training of neural networks and cryptocurrency mining.

The 90 nm process refers to the technology used in semiconductor manufacturing to create integrated circuits with a minimum feature size of 90 nanometers. It was an advancement over the previous 130 nm process. Eventually, it was succeeded by smaller process nodes, such as the 65 nm, 45 nm, and 32 nm processes.

<span class="mw-page-title-main">Multi-chip module</span> Electronic assembly containing multiple integrated circuits that behaves as a unit

A multi-chip module (MCM) is generically an electronic assembly where multiple integrated circuits, semiconductor dies and/or other discrete components are integrated, usually onto a unifying substrate, so that in use it can be treated as if it were a larger IC. Other terms for MCM packaging include "heterogeneous integration" or "hybrid integrated circuit". The advantage of using MCM packaging is it allows a manufacturer to use multiple components for modularity and/or to improve yields over a conventional monolithic IC approach.

The "32 nm" node is the step following the "45 nm" process in CMOS (MOSFET) semiconductor device fabrication. "32-nanometre" refers to the average half-pitch of a memory cell at this technology level.

The "22 nm" node is the process step following 32 nm in CMOS MOSFET semiconductor device fabrication. The typical half-pitch for a memory cell using the process is around 22 nm. It was first demonstrated by semiconductor companies for use in RAM memory in 2008. In 2010, Toshiba began shipping 24 nm flash memory chips, and Samsung Electronics began mass-producing 20 nm flash memory chips. The first consumer-level CPU deliveries using a 22 nm process started in April 2012 with the Intel Ivy Bridge processors.

<span class="mw-page-title-main">AMD APU</span> Series of microprocessors by AMD

AMD Accelerated Processing Unit (APU), formerly known as Fusion, is a series of 64-bit microprocessors from Advanced Micro Devices (AMD), combining a general-purpose AMD64 central processing unit (CPU) and 3D integrated graphics processing unit (IGPU) on a single die.

The "14 nanometer process" refers to a marketing term for the MOSFET technology node that is the successor to the "22 nm" node. The "14 nm" was so named by the International Technology Roadmap for Semiconductors (ITRS). Until about 2011, the node following "22 nm" was expected to be "16 nm". All "14 nm" nodes use FinFET technology, a type of multi-gate MOSFET technology that is a non-planar evolution of planar silicon CMOS technology.

<span class="mw-page-title-main">Multigate device</span> MOS field-effect transistor with more than one gate

A multigate device, multi-gate MOSFET or multi-gate field-effect transistor (MuGFET) refers to a metal–oxide–semiconductor field-effect transistor (MOSFET) that has more than one gate on a single transistor. The multiple gates may be controlled by a single gate electrode, wherein the multiple gate surfaces act electrically as a single gate, or by independent gate electrodes. A multigate device employing independent gate electrodes is sometimes called a multiple-independent-gate field-effect transistor (MIGFET). The most widely used multi-gate devices are the FinFET and the GAAFET, which are non-planar transistors, or 3D transistors.

The term die shrink refers to the scaling of metal–oxide–semiconductor (MOS) devices. The act of shrinking a die creates a somewhat identical circuit using a more advanced fabrication process, usually involving an advance of lithographic nodes. This reduces overall costs for a chip company, as the absence of major architectural changes to the processor lowers research and development costs while at the same time allowing more processor dies to be manufactured on the same piece of silicon wafer, resulting in less cost per product sold.

In semiconductor fabrication, the International Technology Roadmap for Semiconductors (ITRS) defines the "10 nanometer process" as the MOSFET technology node following the "14 nm" node.

Per the International Technology Roadmap for Semiconductors, the 45 nm process is a MOSFET technology node referring to the average half-pitch of a memory cell manufactured at around the 2007–2008 time frame.

In semiconductor manufacturing, the International Roadmap for Devices and Systems defines the "5 nm" process as the MOSFET technology node following the "7 nm" node. In 2020, Samsung and TSMC entered volume production of "5 nm" chips, manufactured for companies including Apple, Marvell, Huawei and Qualcomm.

In semiconductor manufacturing, the "7 nm" process is a marketing term for the MOSFET technology node following the "10 nm" node, defined by the International Technology Roadmap for Semiconductors. It is based on FinFET technology, a type of multi-gate MOSFET technology.

<span class="mw-page-title-main">Apple silicon</span> System-on-chip processors designed by Apple Inc.

Apple silicon refers to a series of system on a chip (SoC) and system in a package (SiP) processors designed by Apple Inc., mainly using the ARM architecture. They are the basis of Mac, iPhone, iPad, Apple TV, Apple Watch, AirPods, AirTag, HomePod, and Apple Vision Pro devices.

<span class="mw-page-title-main">High Bandwidth Memory</span> Type of memory used on processors that require high transfer rate memory

High Bandwidth Memory (HBM) is a computer memory interface for 3D-stacked synchronous dynamic random-access memory (SDRAM) initially from Samsung, AMD and SK Hynix. It is used in conjunction with high-performance graphics accelerators, network devices, high-performance datacenter AI ASICs, as on-package cache in CPUs and on-package RAM in upcoming CPUs, and FPGAs and in some supercomputers. The first HBM memory chip was produced by SK Hynix in 2013, and the first devices to use HBM were the AMD Fiji GPUs in 2015.

In semiconductor manufacturing, the "3 nm" process is the next die shrink after the "5 nm" MOSFET technology node. South Korean chipmaker Samsung started shipping its "3 nm" gate all around (GAA) process, named "3GAA", in mid-2022. On 29 December 2022, Taiwanese chip manufacturer TSMC announced that volume production using its "3 nm" semiconductor node ("N3") was under way with good yields. An enhanced "3 nm" chip process called "N3E" may have started production in 2023. American manufacturer Intel planned to start 3 nm production in 2023.

References

  1. Khosla, Robin (2017). Alternate high-k dielectrics for next-generation CMOS logic and memory technology (PhD). IIT Mandi.
  2. 1 2 Hruska, Joel (August 2019). "Cerebras Systems Unveils 1.2 Trillion Transistor Wafer-Scale Processor for AI". extremetech.com. Retrieved September 6, 2019.
  3. 1 2 Feldman, Michael (August 2019). "Machine Learning chip breaks new ground with waferscale integration". nextplatform.com. Retrieved September 6, 2019.
  4. 1 2 Cutress, Ian (August 2019). "Hot Chips 31 Live Blogs: Cerebras' 1.2 Trillion Transistor Deep Learning Processor". anandtech.com. Retrieved September 6, 2019.
  5. 1 2 "A Look at Cerebras Wafer-Scale Engine: Half Square Foot Silicon Chip". WikiChip Fuse. November 16, 2019. Retrieved December 2, 2019.
  6. 1 2 Everett, Joseph (August 26, 2020). "World's largest CPU has 850,000 7 nm cores that are optimized for AI and 2.6 trillion transistors". TechReportArticles.
  7. 1 2 "Apple introduces M2 Ultra" (Press release). Apple. June 5, 2023.
  8. "John Gustafson's answer to How many individual transistors are in the world's most powerful supercomputer?". Quora . Retrieved August 22, 2019.
  9. Pires, Francisco (October 5, 2022). "Water-Based Chips Could be Breakthrough for Neural Networking, AI: Wetware has gained an entirely new meaning". Tom's Hardware. Retrieved October 5, 2022.
  10. Laws, David (April 2, 2018). "13 Sextillion & Counting: The Long & Winding Road to the Most Frequently Manufactured Human Artifact in History". Computer History Museum.
  11. Handy, Jim (May 26, 2014). "How Many Transistors Have Ever Shipped?". Forbes.
  12. "1971: Microprocessor Integrates CPU Function onto a Single Chip". The Silicon Engine. Computer History Museum . Retrieved September 4, 2019.
  13. 1 2 Holt, Ray. "World's First Microprocessor" . Retrieved March 5, 2016. 1st fully integrated chip set microprocessor
  14. 1 2 "Alpha 21364 - Microarchitectures - Compaq - WikiChip". en.wikichip.org. Retrieved September 8, 2019.
  15. Holt, Ray M. (1998). The F14A Central Air Data Computer and the LSI Technology State-of-the-Art in 1968. p. 8.
  16. Holt, Ray M. (2013). "F14 TomCat MOS-LSI Chip Set". First Microprocessor. Archived from the original on November 6, 2020. Retrieved November 6, 2020.
  17. Ken Shirriff. "The Texas Instruments TMX 1795: the (almost) first, forgotten microprocessor". 2015.
  18. Ryoichi Mori; Hiroaki Tajima; Morihiko Tajima; Yoshikuni Okada (October 1977). "Microprocessors in Japan". Euromicro Newsletter. 3 (4): 50–7. doi:10.1016/0303-1268(77)90111-0.
  19. 1 2 "NEC 751 (uCOM-4)". The Antique Chip Collector's Page. Archived from the original on May 25, 2011. Retrieved June 11, 2010.
  20. 1 2 "1970s: Development and evolution of microprocessors" (PDF). Semiconductor History Museum of Japan. Archived from the original (PDF) on June 27, 2019. Retrieved June 27, 2019.
  21. 1 2 "1973: 12-bit engine-control microprocessor (Toshiba)" (PDF). Semiconductor History Museum of Japan. Archived from the original (PDF) on June 27, 2019. Retrieved June 27, 2019.
  22. "Low Bandwidth Timeline  Semiconductor". Texas Instruments . Retrieved June 22, 2016.
  23. "The MOS 6502 and the Best Layout Guy in the World". research.swtch.com. January 3, 2011. Retrieved September 3, 2019.
  24. Shirriff, Ken (January 2023). "Counting the transistors in the 8086 processor: it's harder than you might think".
  25. "Digital History: ZILOG Z8000 (APRIL 1979)". OLD-COMPUTERS.COM : The Museum. Retrieved June 19, 2019.
  26. "Chip Hall of Fame: Motorola MC68000 Microprocessor". IEEE Spectrum . Institute of Electrical and Electronics Engineers. June 30, 2017. Retrieved June 19, 2019.
  27. Microprocessors: 1971 to 1976 Archived December 3, 2013, at the Wayback Machine Christiansen
  28. "Microprocessors 1976 to 1981". weber.edu. Archived from the original on December 3, 2013. Retrieved August 9, 2014.
  29. "W65C816S 16-bit Core". www.westerndesigncenter.com. Retrieved September 12, 2017.
  30. 1 2 3 4 5 Demone, Paul (November 9, 2000). "ARM's Race to World Domination". real world technologies. Retrieved July 20, 2015.
  31. Hand, Tom. "The Harris RTX 2000 Microcontroller" (PDF). mpeforth.com. Retrieved August 9, 2014.
  32. "Forth chips list". UltraTechnology. March 15, 2001. Retrieved August 9, 2014.
  33. Koopman, Philip J. (1989). "4.4 Architecture of the Novix NC4016". Stack Computers: the new wave. Ellis Horwood Series in Computers and Their Applications. Carnegie Mellon University. ISBN   978-0745804187 . Retrieved August 9, 2014.
  34. "Fujitsu SPARC". cpu-collection.de. Retrieved June 30, 2019.
  35. 1 2 Kimura S, Komoto Y, Yano Y (1988). "Implementation of the V60/V70 and its FRM function". IEEE Micro. 8 (2): 22–36. doi:10.1109/40.527. S2CID   9507994.
  36. "VL2333 - VTI - WikiChip". en.wikichip.org. Retrieved August 31, 2019.
  37. Inayoshi H, Kawasaki I, Nishimukai T, Sakamura K (1988). "Realization of Gmicro/200". IEEE Micro. 8 (2): 12–21. doi:10.1109/40.526. S2CID   36938046.
  38. Bosshart, P.; Hewes, C.; Mi-Chang Chang; Kwok-Kit Chau; Hoac, C.; Houston, T.; Kalyan, V.; Lusky, S.; Mahant-Shetti, S.; Matzke, D.; Ruparel, K.; Ching-Hao Shaw; Sridhar, T.; Stark, D. (October 1987). "A 553K-Transistor LISP Processor Chip". IEEE Journal of Solid-State Circuits. 22 (5): 202–3. doi:10.1109/ISSCC.1987.1157084. S2CID   195841103.
  39. Fahlén, Lennart E.; Stockholm International Peace Research Institute (1987). "3. Hardware requirements for artificial intelligence § Lisp Machines: TI Explorer". Arms and Artificial Intelligence: Weapon and Arms Control Applications of Advanced Computing. SIPRI Monograph Series. Oxford University Press. p. 57. ISBN   978-0-19-829122-0.
  40. Jouppi, Norman P.; Tang, Jeffrey Y. F. (July 1989). "A 20-MIPS Sustained 32-bit CMOS Microprocessor with High Ratio of Sustained to Peak Performance". IEEE Journal of Solid-State Circuits. 24 (5): i. Bibcode:1989IJSSC..24.1348J. CiteSeerX   10.1.1.85.988 . doi:10.1109/JSSC.1989.572612. WRL Research Report 89/11.
  41. "The CPU shack museum". CPUshack.com. May 15, 2005. Retrieved August 9, 2014.
  42. 1 2 3 "Intel i960 Embedded Microprocessor". National High Magnetic Field Laboratory . Florida State University. March 3, 2003. Archived from the original on March 3, 2003. Retrieved June 29, 2019.
  43. Venkatasawmy, Rama (2013). The Digitization of Cinematic Visual Effects: Hollywood's Coming of Age. Rowman & Littlefield. p. 198. ISBN   9780739176214.
  44. Bakoglu, Grohoski, and Montoye. "The IBM RISC System/6000 processor: Hardware overview." IBM J. Research and Development. Vol. 34 No. 1, January 1990, pp. 12-22.
  45. "SH Microprocessor Leading the Nomadic Era" (PDF). Semiconductor History Museum of Japan. Archived from the original (PDF) on June 27, 2019. Retrieved June 27, 2019.
  46. "SH2: A Low Power RISC Micro for Consumer Applications" (PDF). Hitachi. Archived from the original (PDF) on May 10, 2019. Retrieved June 27, 2019.
  47. "HARP-1: A 120 MHz Superscalar PA-RISC Processor" (PDF). Hitachi. Archived from the original (PDF) on April 23, 2016. Retrieved June 19, 2019.
  48. White and Dhawan. "POWER2: next generation of the RISC System/6000 family" IBM J. Research and Development. Vol. 38 No. 5, September 1994, pp. 493-502.
  49. "ARM7 Statistics". Poppyfields.net. May 27, 1994. Retrieved August 9, 2014.
  50. "Forth Multiprocessor Chip MuP21". www.ultratechnology.com. Retrieved September 6, 2019. MuP21 has a 21-bit CPU core, a memory coprocessor, and a video coprocessor
  51. 1 2 "F21 CPU". www.ultratechnology.com. Retrieved September 6, 2019. F21 offers video I/O, analog I/O, serial network I/O, and a parallel I/O port on chip. F21 has a transistor count of about 15,000 vs about 7,000 for MuP21.
  52. "Ars Technica: PowerPC on Apple: An Architectural History, Part I - Page 2 - (8/2004)". archive.arstechnica.com. Retrieved August 11, 2020.
  53. Gary et al. (1994). "The PowerPC 603 microprocessor: a low-power design for portable applications." Proceedings of COMPCON 94. DOI: 10.1109/CMPCON.1994.282894
  54. Slaton et al. (1995). "The PowerPC 603e microprocessor: an enhanced, low-power, superscalar microprocessor." Proceedings of ICCD '95 International Conference on Computer Design. DOI: 10.1109/ICCD.1995.528810
  55. Bowhill, William J. et al. (1995). "Circuit Implementation of a 300-MHz 64-bit Second-generation CMOS Alpha CPU". Digital Technical Journal, Volume 7, Number 1, pp. 100118.
  56. "Intel Pentium Pro 180". hw-museum.cz. Retrieved September 8, 2019.
  57. "PC Guide Intel Pentium Pro ("P6")". PCGuide.com. April 17, 2001. Archived from the original on April 14, 2001. Retrieved August 9, 2014.
  58. Gaddis, N.; Lotz, J. (November 1996). "A 64-b quad-issue CMOS RISC microprocessor". IEEE Journal of Solid-State Circuits31 (11): pp. 16971702.
  59. Bouchard, Gregg. "Design objectives of the 0.35 μm Alpha 21164 Microprocessor". IEEE Hot Chips Symposium, August 1996, IEEE Computer Society.
  60. Ulf Samuelsson. "Transistor count of common uCs?". www.embeddedrelated.com. Retrieved September 8, 2019. IIRC, The AVR core is 12,000 gates, and the megaAVR core is 20,000 gates. Each gate is 4 transistors. The chip is considerably larger since the memory uses quite a lot.
  61. Gronowski, Paul E. et al. (May 1998). "High-performance microprocessor design". IEEE Journal of Solid-State Circuits33 (5): pp. 676686.
  62. Nakagawa, Norio; Arakawa, Fumio (April 1999). "Entertainment Systems and High-Performance Processor SH-4" (PDF). Hitachi Review. 48 (2): 58–63. Retrieved March 18, 2023.
  63. Nishii, O.; Arakawa, F.; Ishibashi, K.; Nakano, S.; Shimura, T.; Suzuki, K.; Tachibana, M.; Totsuka, Y.; Tsunoda, T.; Uchiyama, K.; Yamada, T.; Hattori, T.; Maejima, H.; Nakagawa, N.; Narita, S.; Seki, M.; Shimazaki, Y.; Satomura, R.; Takasuga, T.; Hasegawa, A. (1998). "A 200 MHZ 1.2 W 1.4 GFLOPS microprocessor with graphic operation unit". 1998 IEEE International Solid-State Circuits Conference. Digest of Technical Papers, ISSCC. First Edition (Cat. No. 98CH36156). IEEE. pp. 18.1-1 - 18.1-11. doi:10.1109/ISSCC.1998.672469. ISBN   0-7803-4344-1. S2CID   45392734 . Retrieved March 17, 2023.
  64. 1 2 3 Diefendorff, Keith (April 19, 1999). "Sony's Emotionally Charged Chip: Killer Floating-Point "Emotion Engine" To Power PlayStation 2000" (PDF). Microprocessor Report . 13 (5). S2CID   29649747. Archived from the original (PDF) on February 28, 2019. Retrieved June 19, 2019.
  65. 1 2 Hennessy, John L.; Patterson, David A. (May 29, 2002). Computer Architecture: A Quantitative Approach (3 ed.). Morgan Kaufmann. p. 491. ISBN   978-0-08-050252-6 . Retrieved April 9, 2013.
  66. 1 2 3 "NVIDIA GeForce 7800 GTX GPU Review". PC Perspective . June 22, 2005. Retrieved June 18, 2019.
  67. Ando, H.; Yoshida, Y.; Inoue, A.; Sugiyama, I.; Asakawa, T.; Morita, K.; Muta, T.; Otokurumada, T.; Okada, S.; Yamashita, H.; Satsukawa, Y.; Konmoto, A.; Yamashita, R.; Sugiyama, H. (2003). "A 1.3GHz fifth generation SPARC64 microprocessor". Proceedings of the 40th Annual Design Automation Conference. Design Automation Conference. pp. 702–705. doi:10.1145/775832.776010. ISBN   1-58113-688-9.
  68. Krewell, Kevin (21 October 2002). "Fujitsu's SPARC64 V Is Real Deal". Microprocessor Report .
  69. "Intel Pentium M Processor 1.60 GHZ, 1M Cache, 400 MHZ FSB Product Specifications".
  70. "EE+GS". PS2 Dev Wiki.
  71. "Sony MARKETING (JAPAN) ANNOUNCES LAUNCH OF "PSX" DESR-5000 and DESR-7000 TOWARDS THE END OF 2003" (Press release). Sony. November 27, 2003.
  72. "EMOTION ENGINE AND GRAPHICS SYNTHESIZER USED IN THE CORE OF PLAYSTATION BECOME ONE CHIP" (PDF). Sony. April 21, 2003. Retrieved March 19, 2023.
  73. "Sony PSX's 90nm CPU is 'not 90nm'". The Register. January 30, 2004.
  74. "Semi Insights stands by 'not 90-nm' description of PSX chip". EE Times. February 5, 2004.
  75. "Intel Pentium M Processor 760 (2M Cache, 2.00A GHZ, 533 MHZ FSB) Product Specifications".
  76. Fujitsu Limited (August 2004). SPARC64 V Processor For UNIX Server.
  77. "A Glimpse Inside The Cell Processor". Gamasutra . July 13, 2006. Retrieved June 19, 2019.
  78. "Intel Pentium D Processor 920". Intel. Retrieved January 5, 2023.
  79. "PRESS KIT — Dual-core Intel Itanium Processor". Intel. Retrieved August 9, 2014.
  80. 1 2 Toepelt, Bert (January 8, 2009). "AMD Phenom II X4: 45nm Benchmarked — The Phenom II And AMD's Dragon Platform". TomsHardware.com. Retrieved August 9, 2014.
  81. "ARM (Advanced RISC Machines) Processors". EngineersGarage.com. Retrieved August 9, 2014.
  82. 1 2 "Panasonic starts to sell a New-generation UniPhier System LSI". Panasonic. October 10, 2007. Retrieved July 2, 2019.
  83. "SPARC64 VI Extensions" page 56, Fujitsu Limited, Release 1.3, 27 March 2007
  84. Morgan, Timothy Prickett (17 July 2008). "Fujitsu and Sun Flex Their Quads with New Sparc Server Lineup". The Unix Guardian, Vol. 8, No. 27.
  85. Takumi Maruyama (2009). SPARC64 VIIIfx: Fujitsu's New Generation Octo Core Processor for PETA Scale computing (PDF). Proceedings of Hot Chips 21. IEEE Computer Society. Archived from the original (PDF) on October 8, 2010. Retrieved June 30, 2019.
  86. "Intel Atom N450 specifications". Intel. Retrieved June 8, 2023.
  87. "Intel Atom D510 specifications". Intel. Retrieved June 8, 2023.
  88. Stokes, Jon (February 10, 2010). "Sun's 1 billion-transistor, 16-core Niagara 3 processor". ArsTechnica.com. Retrieved August 9, 2014.
  89. "IBM to Ship World's Fastest Microprocessor". IBM. September 1, 2010. Retrieved August 9, 2014.
  90. "Intel to deliver first computer chip with two billion transistors". AFP. February 5, 2008. Archived from the original on May 20, 2011. Retrieved February 5, 2008.
  91. "Intel Previews Intel Xeon 'Nehalem-EX' Processor." May 26, 2009. Retrieved on May 28, 2009.
  92. Morgan, Timothy Prickett (November 21, 2011), "Fujitsu parades 16-core Sparc64 super stunner", The Register , retrieved December 8, 2011
  93. Angelini, Chris (November 14, 2011). "Intel Core i7-3960X Review: Sandy Bridge-E And X79 Express". TomsHardware.com. Retrieved August 9, 2014.
  94. "IDF2012 Mark Bohr, Intel Senior Fellow" (PDF).
  95. "Images of SPARC64" (PDF). fujitsu.com. Retrieved August 29, 2017.
  96. "Intel's Atom Architecture: The Journey Begins". AnandTech. Retrieved April 4, 2010.
  97. "Intel Xeon Phi SE10X". TechPowerUp. Retrieved July 20, 2015.
  98. Shimpi, Lal. "The Haswell Review: Intel Core i7-4770K & i5-4670K Tested". anandtech. Retrieved November 20, 2014.
  99. "Dimmick, Frank (August 29, 2014). "Intel Core i7 5960X Extreme Edition Review". Overclockers Club. Retrieved August 29, 2014.
  100. "Apple A8X". NotebookCheck. Retrieved July 20, 2015.
  101. "Intel Readying 15-core Xeon E7 v2". AnandTech. Retrieved August 9, 2014.
  102. "Intel Xeon E5-2600 v3 Processor Overview: Haswell-EP Up to 18 Cores". pcper. September 8, 2014. Retrieved January 29, 2015.
  103. "Intel's Broadwell-U arrives aboard 15W, 28W mobile processors". TechReport. January 5, 2015. Retrieved January 5, 2015.
  104. "Oracle Cranks up the Cores to 32 with Sparc M7 Chip". August 13, 2014.
  105. "Broadwell-E: Intel Core i7-6950X, 6900K, 6850K & 6800K Review". Tom's Hardware. May 30, 2016. Retrieved April 12, 2017.
  106. "The Broadwell-E Review". PC Gamer. July 8, 2016. Retrieved April 12, 2017.
  107. "HUAWEI TO UNVEIL KIRIN 970 SOC WITH AI UNIT, 5.5 BILLION TRANSISTORS AND 1.2 GBPS LTE SPEED AT IFA 2017". firstpost.com. September 1, 2017. Retrieved November 18, 2018.
  108. "Broadwell-EP Architecture - Intel Xeon E5-2600 v4 Broadwell-EP Review". Tom's Hardware. March 31, 2016. Retrieved April 4, 2016.
  109. "About the ZipCPU". zipcpu.com. Retrieved September 10, 2019. As of ORCONF, 2016, the ZipCPU used between 1286 and 4926 6-LUTs, depending upon how it is configured.
  110. "Qualcomm Snapdragon 835 (8998)". NotebookCheck. Retrieved September 23, 2017.
  111. Takahashi, Dean (January 3, 2017). "Qualcomm's Snapdragon 835 will debut with 3 billion transistors and a 10nm manufacturing process". VentureBeat.
  112. Singh, Teja (2017). "3.2 Zen: A Next-Generation High-Performance x86 Core". Proc. IEEE International Solid-State Circuits Conference. pp. 52–54.
  113. Cutress, Ian (February 22, 2017). "AMD Launches Zen". Anandtech.com. Retrieved February 22, 2017.
  114. "Ryzen 5 1600 - AMD". Wikichip.org. April 20, 2018. Retrieved December 9, 2018.
  115. "Kirin 970  HiSilicon". Wikichip. March 1, 2018. Retrieved November 8, 2018.
  116. 1 2 Leadbetter, Richard (April 6, 2017). "Inside the next Xbox: Project Scorpio tech revealed". Eurogamer. Retrieved May 3, 2017.
  117. "Intel Xeon Platinum 8180". TechPowerUp. December 1, 2018. Retrieved December 2, 2018.
  118. Pellerano, Stefano (March 2, 2022). "Circuit Design to Harness the Power of Scaling and Integration (ISSCC 2022)". YouTube.
  119. Lee, Y. "SiFive Freedom SoCs : Industry's First Open Source RISC V Chips" (PDF). HotChips 29 IOT/Embedded. Archived from the original (PDF) on August 9, 2020. Retrieved June 19, 2019.
  120. "Documents at Fujitsu" (PDF). fujitsu.com. Retrieved August 29, 2017.
  121. Schmerer, Kai (November 5, 2018). "iPad Pro 2018: A12X-Prozessor bietet deutlich mehr Leistung". ZDNet.de (in German).
  122. "Qualcomm Datacenter Technologies Announces Commercial Shipment of Qualcomm Centriq 2400 – The World's First 10nm Server Processor and Highest Performance Arm-based Server Processor Family Ever Designed". Qualcomm. Retrieved November 9, 2017.
  123. "Qualcomm Snapdragon 1000 for laptops could pack 8.5 billion transistors". techradar. Retrieved September 23, 2017.
  124. "Spotted: Qualcomm Snapdragon 8cx Wafer on 7nm". AnandTech. Retrieved December 6, 2018.
  125. "HiSilicon Kirin 710". Notebookcheck. September 19, 2018. Retrieved November 24, 2018.
  126. Yang, Daniel; Wegner, Stacy (September 21, 2018). "Apple iPhone Xs Max Teardown". TechInsights. Retrieved September 21, 2018.
  127. "Apple's A12 Bionic is the first 7-nanometer smartphone chip". Engadget. Retrieved September 26, 2018.
  128. "Kirin 980  HiSilicon". Wikichip. November 8, 2018. Retrieved November 8, 2018.
  129. "Qualcomm Snapdragon 8180: 7nm SoC SDM1000 With 8.5 Billion Transistors To Challenge Apple A12 Bionic Chipset". dailyhunt. Retrieved September 21, 2018.
  130. Zafar, Ramish (October 30, 2018). "Apple's A12X Has 10 Billion Transistors, 90% Performance Boost & 7-Core GPU". Wccftech.
  131. "Fujitsu began to produce Japan's billions of super-calculations with the strongest ARM processor A64FX". firstxw.com. April 16, 2019. Archived from the original on June 20, 2019. Retrieved June 19, 2019.
  132. "Fujitsu Successfully Triples the Power Output of Gallium-Nitride Transistors". Fujitsu . August 22, 2018. Retrieved June 19, 2019.
  133. "Hot Chips 30: Nvidia Xavier SoC". fuse.wikichip.org. September 18, 2018. Retrieved December 6, 2018.
  134. Frumusanu, Andrei. "The Samsung Galaxy S10+ Snapdragon & Exynos Review: Almost Perfect, Yet So Flawed". www.anandtech.com. Retrieved February 19, 2021.
  135. 1 2 3 4 5 6 "Zen 2 Microarchitecture". WikiChip. Retrieved February 21, 2023.
  136. "AMD Ryzen 9 3900X and Ryzen 7 3700X Review: Zen 2 and 7nm Unleashed". Tom's Hardware . July 7, 2019. Retrieved October 19, 2019.
  137. Frumusanu, Andrei. "The Huawei Mate 30 Pro Review: Top Hardware without Google?". AnandTech. Retrieved January 2, 2020.
  138. Zafar, Ramish (September 10, 2019). "Apple A13 For iPhone 11 Has 8.5 Billion Transistors, Quad-Core GPU". Wccftech. Retrieved September 11, 2019.
  139. Introducing iPhone 11 Pro — Apple Youtube Video , retrieved September 11, 2019[ dead YouTube link ]
  140. "Hot Chips 2020 Live Blog: IBM z15". AnandTech. August 17, 2020.
  141. 1 2 Broekhuijsen, Niels (October 23, 2019). "AMD's 64-Core EPYC and Ryzen CPUs Stripped: A Detailed Inside Look" . Retrieved October 24, 2019.
  142. 1 2 Mujtaba, Hassan (October 22, 2019). "AMD 2nd Gen EPYC Rome Processors Feature A Gargantuan 39.54 Billion Transistors, IO Die Pictured in Detail" . Retrieved October 24, 2019.
  143. Friedman, Alan (December 14, 2019). "5nm Kirin 1020 SoC tipped for next year's Huawei Mate 40 line". Phone Arena. Retrieved December 23, 2019.
  144. Verheyde, Arne (December 5, 2019). "Amazon Compares 64-core ARM Graviton2 to Intel's Xeon". Tom's Hardware. Retrieved December 6, 2019.
  145. Morgan, Timothy Prickett (December 3, 2019). "Finally: AWS Gives Servers A Real Shot In The Arm". The Next Platform. Retrieved December 6, 2019.
  146. Friedman, Alan (October 10, 2019). "Qualcomm will reportedly introduce the Snapdragon 865 SoC as soon as next month". Phone Arena. Retrieved February 19, 2021.
  147. "Xiaomi Mi 10 Teardown Analysis | TechInsights". www.techinsights.com. Retrieved February 19, 2021.
  148. "The Linley Group - TI Jacinto Accelerates Level 3 ADAS". www.linleygroup.com. Retrieved February 12, 2021.
  149. "Apple unveils A14 Bionic processor with 40% faster CPU and 11.8 billion transistors". Venturebeat. November 10, 2020. Retrieved November 24, 2020.
  150. "Apple says new Arm-based M1 chip offers the 'longest battery life ever in a Mac'". The Verge. November 10, 2020. Retrieved November 11, 2020.
  151. Ikoba, Jed John (October 23, 2020). "Multiple benchmark tests rank the Kirin 9000 as one of the most-powerful chipset yet". Gizmochina. Retrieved November 14, 2020.
  152. Frumusanu, Andrei. "Huawei Announces Mate 40 Series: Powered by 15.3bn Transistors 5nm Kirin 9000". www.anandtech.com. Retrieved November 14, 2020.
  153. 1 2 Burd, Thomas (2022). "2.7 Zen3: The AMD 2nd-Generation 7nm x86-64 Microprocessor Core". Proc. IEEE International Solid-State Circuits Conference. pp. 54–56.
  154. "For a long time, Intel once again named the number of transistors in the chip. There are supposed to be about 6 billion for Rocket Lake-S. Coffee Lake-S is supposed to have about 4 billion. The chip with eight cores is about 30 % bigger than the predecessor with ten core". twitter. Retrieved March 16, 2021.
  155. "Intel's Core i7-11700K 'Rocket Lake' Delidded: A Big Die, Revealed". tomshardware. March 12, 2021. Retrieved March 16, 2021.
  156. "Intel's 14nm density". www.techcenturion.com. Retrieved November 26, 2019.
  157. "AMD Ryzen 7 5800H Specs". TechPowerUp. Retrieved September 20, 2021.
  158. "AMD Epyc 7763 specifications". August 2023.
  159. Shankland, Stephen. "Apple's A15 Bionic chip powers iPhone 13 with 15 billion transistors, new graphics and AI". CNET. Retrieved September 20, 2021.
  160. "Apple iPhone 13 Pro Teardown | TechInsights". www.techinsights.com. Retrieved September 29, 2021.
  161. 1 2 "Apple unveils M1 Pro and M1 Max chips for latest MacBook Pro laptops". VentureBeat. October 18, 2021.
  162. "Apple Announces M1 Pro & M1 Max: Giant New Arm SoCs with All-Out Performance". AnanadTech. Retrieved December 2, 2021.
  163. "Apple unveils new computer chips amid shortage". BBC News. October 19, 2021.
  164. 1 2 "Apple Joins 3D-Fabric Portfolio with M1 Ultra?". TechInsights. Retrieved July 8, 2022.
  165. "Hot Chips 2020 live blog". AnandTech. August 17, 2020.
  166. "Phantom X2 Series 5G powered by MediaTek Dimensity 9000". Mediatek. December 12, 2022.
  167. "MediaTek Dimensity 9000". Mediatek. January 21, 2023.
  168. "Apple A16 Bionic announced for the iPhone 14 Pro and iPhone 14 Pro Max". NotebookCheck. September 7, 2022.
  169. "iPhone 14 Pro and Pro Max Only Models to Get New A16 Chip". CNET. September 7, 2022.
  170. "The Apple 2022 Fall iPhone Event Live Blog". AnandTech. September 7, 2022.
  171. "Apple unveils M1 Ultra, the world's most powerful chip for a personal computer". Apple Newsroom. Retrieved March 9, 2022.
  172. Shankland, Stephen. "Meet Apple's Enormous 20-Core M1 Ultra Processor, the Brains in the New Mac Studio Machine". CNET. Retrieved March 9, 2022.
  173. 1 2 "AMD releases Milan-X CPUs". AnandTech. March 21, 2022.
  174. "IBM Telum Hot Chips slide deck" (PDF). August 23, 2021.
  175. "IBM z16 announcement". April 5, 2022.
  176. "Apple unveils M2, taking the breakthrough performance and capabilities of M1 even further". Apple. June 6, 2022.
  177. "MediaTek Dimensity 9200: New flagship chipset debuts with ARM Cortex-X3 CPU and Immortalis-G715 GPU cores built around TSMC N4P node". NotebookCheck. November 8, 2022.
  178. "Dimensity 9200 specs". Mediatek. November 8, 2022.
  179. "Dimensity 9200 presentation". Mediatek. November 8, 2022.
  180. "AMD EPYC Genoa Gaps Intel Xeon in Stunning Fashion". ServeTheHome. November 10, 2022.
  181. "AMD Aims to Break the ZettaFLOP Barrier by 2035, Lays Down Next-Gen Plans to Resolve Efficiency Problems". Appuals. February 21, 2023.
  182. "AMD Lays The Path To Zettascale Computing: Talks CPU & GPU Performance Plus Efficiency Trends, Next-Gen Chiplet Packaging & More". WCCFtech. February 20, 2023.
  183. "AMD EPYC Genoa & SP5 Platform Leaked – 5nm Zen 4 CCD Measures Roughly 72mm, 12 CCD Package at 5428mm2, Up To 700W Peak Socket Power". WCCFtech. August 17, 2021.
  184. "Leaked AMD Epyc Genoa Docs Reveal 96 Cores, Max TDP of 700W, and Zen 4 Chiplet Dimensions". HardwareTimes. August 17, 2021.
  185. "Kirin 9000S has about 6 billion fewer transistors than Kirin 9000, but its performance is stronger! How did you do it?". iNews. September 13, 2023. Retrieved September 24, 2023.
  186. 1 2 3 "Apple introduces new M3 chip lineup, starting with the M3, M3 Pro, and M3 Max". Arstechnica. October 31, 2023.
  187. Goldman, Joshua. "Apple A17 Pro Chip: The New Brain Inside iPhone 15 Pro, Pro Max". CNET. Retrieved September 12, 2023.
  188. "4th Gen Intel Xeon Scalable Sapphire Rapids Leaps Forward". ServeTheHome. January 10, 2023.
  189. "Wie vier Dies zu einem "monolithischen" Sapphire Rapids werden". hardwareLUXX. February 21, 2022.
  190. 1 2 "Apple unveils M2 Pro and M2 Max: next-generation chips for next-level workflows". Apple (Press release). January 17, 2023.
  191. "AMD EPYC Bergamo Launched 128 Cores Per Socket and 1024 Threads Per 1U". ServeTheHome. June 13, 2023.
  192. "AMD Instinct MI300A Accelerators". AMD. Retrieved January 14, 2024.
  193. Alcorn, Paul (December 6, 2023). "AMD unveils Instinct MI300X GPU and MI300A APU, claims up to 1.6X lead over Nvidia's competing GPUs". Tom's Hardware. Retrieved January 14, 2024.
  194. Williams, Chris. "Nvidia's Tesla P100 has 15 billion transistors, 21TFLOPS". www.theregister.co.uk. Retrieved August 12, 2019.
  195. "Famous Graphics Chips: NEC μPD7220 Graphics Display Controller". IEEE Computer Society . Institute of Electrical and Electronics Engineers. August 22, 2018. Retrieved June 21, 2019.
  196. "GPU History: Hitachi ARTC HD63484. The second graphics processor". IEEE Computer Society . Institute of Electrical and Electronics Engineers. October 7, 2018. Retrieved June 21, 2019.
  197. "Big Book of Amiga Hardware".
  198. MOS Technology Agnus. ISBN   5511916846.
  199. 1 2 "30 Years of Console Gaming". Klinger Photography. August 20, 2017. Retrieved June 19, 2019.
  200. 1 2 "Diamond Edge 3D (nVidia NV1+Sega Saturn)". Naver . February 24, 2017. Retrieved June 19, 2019.
  201. "Sega Saturn". MAME . Retrieved July 18, 2019.
  202. "ASIC CHIPS ARE INDUSTRY'S GAME WINNERS". The Washington Post . September 18, 1995. Retrieved June 19, 2019.
  203. "Is it Time to Rename the GPU?". Jon Peddie Research. IEEE Computer Society. July 9, 2018. Retrieved June 19, 2019.
  204. "FastForward Sony Taps LSI Logic for PlayStation Video Game CPU Chip". FastForward. Retrieved January 29, 2014.
  205. 1 2 "Reality Co-Processor − The Power In Nintendo64" (PDF). Silicon Graphics. August 26, 1997. Archived from the original (PDF) on May 19, 2020. Retrieved June 18, 2019.
  206. "Imagination PowerVR PCX2 GPU". VideoCardz.net. Retrieved June 19, 2019.
  207. 1 2 3 4 5 6 7 8 Lilly, Paul (May 19, 2009). "From Voodoo to GeForce: The Awesome History of 3D Graphics". PC Gamer . Retrieved June 19, 2019.
  208. 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 "3D accelerator database". Vintage 3D. Retrieved July 21, 2019.
  209. "RIVA128 Datasheet". SGS Thomson Microelectronics . Retrieved July 21, 2019.
  210. 1 2 3 Singer, Graham (April 3, 2013). "History of the Modern Graphics Processor, Part 2". TechSpot. Retrieved July 21, 2019.
  211. "Remembering the Sega Dreamcast". Bit-Tech . September 29, 2009. Retrieved June 18, 2019.
  212. Weinberg, Neil (September 7, 1998). "Comeback kid". Forbes . Retrieved June 19, 2019.
  213. Charles, Bertie (1998). "Sega's New Dimension". Forbes . 162 (5–9). Forbes Incorporated: 206. The chip, etched in 0.25-micron detail — state-of-the-art for graphics processors — fits 10 million transistors
  214. Hagiwara, Shiro; Oliver, Ian (November–December 1999). "Sega Dreamcast: Creating a Unified Entertainment World". IEEE Micro . 19 (6). IEEE Computer Society: 29–35. doi:10.1109/40.809375. Archived from the original on August 23, 2000. Retrieved June 27, 2019.
  215. "VideoLogic Neon 250 4MB". VideoCardz.net. Retrieved June 19, 2019.
  216. Shimpi, Anand Lal (November 21, 1998). "Fall Comdex '98 Coverage". AnandTech . Retrieved June 19, 2019.
  217. 1 2 3 "EMOTION ENGINE AND GRAPHICS SYNTHESIZER USED IN THE CORE OF PLAYSTATION BECOME ONE CHIP" (PDF). Sony. April 21, 2003. Retrieved June 26, 2019.
  218. "NVIDIA NV10 A3 GPU Specs". TechPowerUp. Retrieved June 19, 2019.
  219. IGN Staff (November 4, 2000). "Gamecube Versus PlayStation 2". IGN. Retrieved November 22, 2015.
  220. "NVIDIA NV2A GPU Specs". TechPowerUp. Retrieved July 21, 2019.
  221. "ATI Xenos GPU Specs". TechPowerUp. Retrieved June 21, 2019.
  222. International, GamesIndustry (July 14, 2005). "TSMC to manufacture X360 GPU". Eurogamer . Retrieved August 22, 2006.
  223. "NVIDIA Playstation 3 RSX 65nm Specs". TechPowerUp. Retrieved June 21, 2019.
  224. "PS3 Graphics Chip Goes 65nm in Fall". Edge Online. June 26, 2008. Archived from the original on July 25, 2008.
  225. "NVIDIA's 1.4 Billion Transistor GPU: GT200 Arrives as the GeForce GTX 280 & 260". AnandTech.com. Retrieved August 9, 2014.
  226. "The Radeon HD 4850 & 4870: AMD Wins at $199 and $299". AnandTech.com. Retrieved August 9, 2014.
  227. 1 2 Glaskowsky, Peter. "ATI and Nvidia face off-obliquely". CNET. Archived from the original on January 27, 2012. Retrieved August 9, 2014.
  228. Woligroski, Don (December 22, 2011). "AMD Radeon HD 7970". TomsHardware.com. Retrieved August 9, 2014.
  229. "NVIDIA Kepler GK110 Architecture" (PDF). NVIDIA. 2012. Retrieved January 9, 2024.
  230. Smith, Ryan (November 12, 2012). "NVIDIA Launches Tesla K20 & K20X: GK110 Arrives At Last". AnandTech .
  231. "Whitepaper: NVIDIA GeForce GTX 680" (PDF). NVIDIA. 2012. Archived from the original (PDF) on April 17, 2012.
  232. 1 2 Kan, Michael (August 18, 2020). "Xbox Series X May Give Your Wallet a Workout Due to High Chip Manufacturing Costs". PCMag . Retrieved September 5, 2020.
  233. "AMD Xbox One GPU". www.techpowerup.com. Retrieved February 5, 2020.
  234. "AMD PlayStation 4 GPU". www.techpowerup.com. Retrieved February 5, 2020.
  235. "AMD Xbox One S GPU". www.techpowerup.com. Retrieved February 5, 2020.
  236. "AMD PlayStation 4 Pro GPU". www.techpowerup.com. Retrieved February 5, 2020.
  237. Smith, Ryan (June 29, 2016). "The AMD RX 480 Preview". Anandtech.com. Retrieved February 22, 2017.
  238. 1 2 3 Schor, David (July 22, 2018). "VLSI 2018: GlobalFoundries 12nm Leading-Performance, 12LP". WikiChip Fuse. Retrieved May 31, 2019.
  239. Harris, Mark (April 5, 2016). "Inside Pascal: NVIDIA's Newest Computing Platform". Nvidia developer blog.
  240. 1 2 3 4 5 6 "GPU Database: Pascal". TechPowerUp. July 26, 2023.
  241. "AMD Xbox One X GPU". www.techpowerup.com. Retrieved February 5, 2020.
  242. "Radeon's next-generation Vega architecture" (PDF).
  243. Durant, Luke; Giroux, Olivier; Harris, Mark; Stam, Nick (May 10, 2017). "Inside Volta: The World's Most Advanced Data Center GPU". Nvidia developer blog.
  244. "NVIDIA TURING GPU ARCHITECTURE: Graphics Reinvented" (PDF). Nvidia. 2018. Retrieved June 28, 2019.
  245. "NVIDIA GeForce GTX 1650". www.techpowerup.com. Retrieved February 5, 2020.
  246. "NVIDIA GeForce GTX 1660 Ti". www.techpowerup.com. Retrieved February 5, 2020.
  247. "AMD Radeon RX 5700 XT". www.techpowerup.com. Retrieved February 5, 2020.
  248. "AMD Radeon RX 5500 XT". www.techpowerup.com. Retrieved February 5, 2020.
  249. "AMD Arcturus GPU Specs". TechPowerUp. Retrieved November 10, 2022.
  250. Walton, Jared (May 14, 2020). "Nvidia Unveils Its Next-Generation 7nm Ampere A100 GPU for Data Centers, and It's Absolutely Massive". Tom's Hardware .
  251. "Nvidia Ampere Architecture". www.nvidia.com. Retrieved May 15, 2020.
  252. "NVIDIA GA102 GPU Specs". Techpowerup. Retrieved September 5, 2020.
  253. "'Giant Step into the Future': NVIDIA CEO Unveils GeForce RTX 30 Series GPUs". www.nvidia.com. September 2020. Retrieved September 5, 2020.
  254. "NVIDIA GA103 GPU Specs". TechPowerUp. Retrieved March 21, 2023.
  255. "NVIDIA GeForce RTX 3070 Specs". TechPowerUp. Retrieved September 20, 2021.
  256. "NVIDIA GA106 specs". TechPowerUp. Retrieved March 22, 2023.
  257. "NVIDIA GA107 GPU Specs". TechPowerUp. Retrieved March 21, 2023.
  258. "MI250X die size estimates". Twitter. November 17, 2021.
  259. "AMD Instinct MI250 Professional Graphics Card". VideoCardz. November 2, 2022.
  260. "AMD's Instinct MI250X OAM Card Pictured: Aldebaran's Massive Die Revealed". Tom's Hardware. November 17, 2021.
  261. "AMD MI250X and Toplogies Explained at HC34". ServeTheHome. August 22, 2022.
  262. "Nvidia Launches Hopper H100 GPU, New DGXs and Grace Superchips". HPCWire. March 22, 2022. Retrieved March 23, 2022.
  263. "NVIDIA details AD102 GPU, up to 18432 CUDA cores, 76.3B transistors and 608 mm2". VideoCardz. September 20, 2022.
  264. 1 2 "NVIDIA confirms Ada 102/103/104 GPU specs, AD104 has more transistors than GA102". VideoCardz. September 23, 2022.
  265. 1 2 "Alleged Nvidia AD106 and AD107 GPU Pics, Specs, Die Sizes Revealed". Tom's Hardware. February 3, 2023.
  266. "NVIDIA GeForce RTX 4060 Ti "AD106-350" GPU Pictured, Uses Samsung GDDR6 Dies". WCCFtech. April 28, 2023.
  267. "NVIDIA's Smallest Ada GPU, The AD107-400, For GeForce RTX 4060 GPUs Pictured". WCCFtech. May 21, 2023.
  268. "AMD Unveils World's Most Advanced Gaming Graphics Cards, Built on Groundbreaking AMD RDNA 3 Architecture with Chiplet Design". AMD (Press release). November 3, 2022.
  269. "AMD Announces the $999 Radeon RX 7900 XTX... (endnote RX-819)". TechPowerUp. November 4, 2022.
  270. "AMD Navi 31 GPU Specs". TechPowerUp. Retrieved November 7, 2023.
  271. "AMD Navi 32 GPU Specs". TechPowerUp. Retrieved November 7, 2023.
  272. "AMD Navi 33 GPU Specs". TechPowerUp. Retrieved March 21, 2023.
  273. "AMD Has a GPU to Rival Nvidia's H100". HPCWire. June 13, 2023. Retrieved June 14, 2023.
  274. "AMD Aqua Vanjaram Specs". TechPowerUp. Retrieved January 14, 2024.
  275. https://www.globenewswire.com/news-release/2024/03/18/2848181/0/en/NVIDIA-Blackwell-Platform-Arrives-to-Power-a-New-Era-of-Computing.html
  276. "Taiwan Company UMC Delivers 65nm FPGAs to Xilinx." SDA-ASIA Thursday, November 9, 2006.
  277. " "Altera's new 40nm FPGAs — 2.5 billion transistors!". pldesignline.com. Archived from the original on June 19, 2010. Retrieved January 22, 2009.
  278. "Altera unveils 28-nm Stratix V FPGA family". April 20, 2010. Retrieved April 20, 2010.
  279. "Design of a High-Density SoC FPGA at 20nm" (PDF). 2014. Archived from the original (PDF) on April 23, 2016. Retrieved July 16, 2017.
  280. Maxfield, Clive (October 2011). "New Xilinx Virtex-7 2000T FPGA provides equivalent of 20 million ASIC gates". EETimes. AspenCore. Retrieved September 4, 2019.
  281. Greenhill, D.; Ho, R.; Lewis, D.; Schmit, H.; Chan, K. H.; Tong, A.; Atsatt, S.; How, D.; McElheny, P. (February 2017). "3.3 a 14nm 1GHz FPGA with 2.5D transceiver integration". 2017 IEEE International Solid-State Circuits Conference (ISSCC). pp. 54–55. doi:10.1109/ISSCC.2017.7870257. ISBN   978-1-5090-3758-2. S2CID   2135354.
  282. "3.3 A 14nm 1GHz FPGA with 2.5D transceiver integration | DeepDyve". May 17, 2017. Archived from the original on May 17, 2017. Retrieved September 19, 2019.
  283. Santarini, Mike (May 2014). "Xilinx Ships Industry's First 20-nm All Programmable Devices" (PDF). Xcell journal. No. 86. Xilinx. p. 14. Retrieved June 3, 2014.
  284. Gianelli, Silvia (January 2015). "Xilinx Delivers the Industry's First 4M Logic Cell Device, Offering >50M Equivalent ASIC Gates and 4X More Capacity than Competitive Alternatives". www.xilinx.com. Retrieved August 22, 2019.
  285. Sims, Tara (August 2019). "Xilinx Announces the World's Largest FPGA Featuring 9 Million System Logic Cells". www.xilinx.com. Retrieved August 22, 2019.
  286. Verheyde, Arne (August 2019). "Xilinx Introduces World's Largest FPGA With 35 Billion Transistors". www.tomshardware.com. Retrieved August 23, 2019.
  287. Cutress, Ian (August 2019). "Xilinx Announces World Largest FPGA: Virtex Ultrascale+ VU19P with 9m Cells". www.anandtech.com. Retrieved September 25, 2019.
  288. Abazovic, Fuad (May 2019). "Xilinx 7nm Versal taped out last year" . Retrieved September 30, 2019.
  289. Cutress, Ian (August 2019). "Hot Chips 31 Live Blogs: Xilinx Versal AI Engine" . Retrieved September 30, 2019.
  290. Krewell, Kevin (August 2019). "Hot Chips 2019 highlights new AI strategies" . Retrieved September 30, 2019.
  291. Leibson, Steven (November 6, 2019). "Intel announces Intel Stratix 10 GX 10M FPGA, worlds highest capacity with 10.2 million logic elements" . Retrieved November 7, 2019.
  292. Verheyde, Arne (November 6, 2019). "Intel Introduces World's Largest FPGA With 43.3 Billion Transistors" . Retrieved November 7, 2019.
  293. Cutress, Ian (August 2020). "Hot Chips 2020 Live Blog: Xilinx Versal ACAPs" . Retrieved September 9, 2020.
  294. "Xilinx Announces Full Production Shipments of 7nm Versal AI Core and Versal Prime Series Devices". April 27, 2021. Retrieved May 8, 2021.
  295. 1 2 The DRAM memory of Robert Dennard history-computer.com
  296. 1 2 3 4 "Late 1960s: Beginnings of MOS memory" (PDF). Semiconductor History Museum of Japan. January 23, 2019. Retrieved June 27, 2019.
  297. 1 2 3 4 5 6 "1970: Semiconductors compete with magnetic cores". Computer History Museum . Retrieved June 19, 2019.
  298. "2.1.1 Flash Memory". TU Wien . Retrieved June 20, 2019.
  299. Shilov, Anton. "SK Hynix Starts Production of 128-Layer 4D NAND, 176-Layer Being Developed". www.anandtech.com. Retrieved September 16, 2019.
  300. "Samsung Begins Production of 100+ Layer Sixth-Generation V-NAND Flash". PC Perspective. August 11, 2019. Retrieved September 16, 2019.
  301. 1 2 "1966: Semiconductor RAMs Serve High-speed Storage Needs". Computer History Museum . Retrieved June 19, 2019.
  302. "Specifications for Toshiba "TOSCAL" BC-1411". Old Calculator Web Museum. Archived from the original on July 3, 2017. Retrieved May 8, 2018.
  303. "Toshiba "Toscal" BC-1411 Desktop Calculator". Old Calculator Web Museum. Archived from the original on May 20, 2007.
  304. IBM first in IC memory. IBM Corporation. 1965. Retrieved June 19, 2019.{{cite book}}: |website= ignored (help)
  305. 1 2 3 4 5 6 7 8 9 10 11 12 13 "A chronological list of Intel products. The products are sorted by date" (PDF). Intel museum. Intel Corporation. July 2005. Archived from the original (PDF) on August 9, 2007. Retrieved July 31, 2007.
  306. 1 2 "1970s: SRAM evolution" (PDF). Semiconductor History Museum of Japan. Retrieved June 27, 2019.
  307. 1 2 3 Pimbley, J. (2012). Advanced CMOS Process Technology. Elsevier. p. 7. ISBN   9780323156806.
  308. 1 2 "Intel: 35 Years of Innovation (1968–2003)" (PDF). Intel. 2003. Archived from the original (PDF) on November 4, 2021. Retrieved June 26, 2019.
  309. 1 2 Lojek, Bo (2007). History of Semiconductor Engineering. Springer Science & Business Media. pp. 362–363. ISBN   9783540342588. The i1103 was manufactured on a 6-mask silicon-gate P-MOS process with 8 μm minimum features. The resulting product had a 2,400 μm2 memory cell size, a die size just under 10 mm2, and sold for around $21.
  310. "Manufacturers in Japan enter the DRAM market and integration densities are improved" (PDF). Semiconductor History Museum of Japan. Retrieved June 27, 2019.
  311. 1 2 3 4 5 6 7 8 9 10 11 12 13 14 Gealow, Jeffrey Carl (August 10, 1990). "Impact of Processing Technology on DRAM Sense Amplifier Design" (PDF). Massachusetts Institute of Technology. pp. 149–166. Retrieved June 25, 2019 via CORE.
  312. "Silicon Gate MOS 2102A". Intel . Retrieved June 27, 2019.
  313. "One of the Most Successful 16K Dynamic RAMs: The 4116". National Museum of American History . Smithsonian Institution . Retrieved June 20, 2019.
  314. Component Data Catalog (PDF). Intel. 1978. pp. 3–94. Retrieved June 27, 2019.
  315. 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 "Memory". STOL (Semiconductor Technology Online). Archived from the original on November 2, 2023. Retrieved June 25, 2019.
  316. "The Cutting Edge of IC Technology: The First 294,912-Bit (288K) Dynamic RAM". National Museum of American History . Smithsonian Institution . Retrieved June 20, 2019.
  317. "Computer History for 1984". Computer Hope. Retrieved June 25, 2019.
  318. "Japanese Technical Abstracts". Japanese Technical Abstracts. 2 (3–4). University Microfilms: 161. 1987. The announcement of 1M DRAM in 1984 began the era of megabytes.
  319. "KM48SL2000-7 Datasheet". Samsung. August 1992. Retrieved June 19, 2019.
  320. "Electronic Design". Electronic Design . 41 (15–21). Hayden Publishing Company. 1993. The first commercial synchronous DRAM, the Samsung 16-Mbit KM48SL2000, employs a single-bank architecture that lets system designers easily transition from asynchronous to synchronous systems.
  321. Breaking the gigabit barrier, DRAMs at ISSCC portend major system-design impact. (dynamic random access memory; International Solid-State Circuits Conference; Hitachi Ltd. and NEC Corp. research and development), January 9, 1995
  322. 1 2 "Japanese Company Profiles" (PDF). Smithsonian Institution. 1996. Retrieved June 27, 2019.
  323. 1 2 "History: 1990s". SK Hynix . Archived from the original on February 5, 2021. Retrieved July 6, 2019.
  324. "Samsung 50nm 2GB DDR3 chips are industry's smallest". SlashGear. September 29, 2008. Retrieved June 25, 2019.
  325. Shilov, Anton (July 19, 2017). "Samsung Increases Production Volumes of 8 GB HBM2 Chips Due to Growing Demand". AnandTech . Retrieved June 29, 2019.
  326. "Samsung Unleashes a Roomy DDR4 256GB RAM". Tom's Hardware . September 6, 2018. Archived from the original on June 21, 2019. Retrieved June 21, 2019.
  327. "First 3D Nanotube and RRAM ICs Come Out of Foundry". IEEE Spectrum: Technology, Engineering, and Science News. July 19, 2019. Retrieved September 16, 2019. This wafer was made just last Friday... and it's the first monolithic 3D IC ever fabricated within a foundry
  328. "Three Dimensional Monolithic System-on-a-Chip". www.darpa.mil. Retrieved September 16, 2019.
  329. "DARPA 3DSoC Initiative Completes First Year, Update Provided at ERI Summit on Key Steps Achieved to Transfer Technology into SkyWater's 200mm U.S. Foundry". Skywater Technology Foundry (Press release). July 25, 2019. Retrieved September 16, 2019.
  330. "DD28F032SA Datasheet". Intel . Retrieved June 27, 2019.
  331. "TOSHIBA ANNOUNCES 0.13 MICRON 1Gb MONOLITHIC NAND FEATURING LARGE BLOCK SIZE FOR IMPROVED WRITE/ERASE SPEED PERFORMANCE". Toshiba. September 9, 2002. Archived from the original on March 11, 2006. Retrieved March 11, 2006.
  332. "TOSHIBA AND SANDISK INTRODUCE A ONE GIGABIT NAND FLASH MEMORY CHIP, DOUBLING CAPACITY OF FUTURE FLASH PRODUCTS". Toshiba. November 12, 2001. Retrieved June 20, 2019.
  333. 1 2 3 4 "Our Proud Heritage from 2000 to 2009". Samsung Semiconductor . Samsung . Retrieved June 25, 2019.
  334. "TOSHIBA ANNOUNCES 1 GIGABYTE COMPACTFLASH CARD". Toshiba. September 9, 2002. Archived from the original on March 11, 2006. Retrieved March 11, 2006.
  335. 1 2 3 4 "History". Samsung Electronics . Samsung . Retrieved June 19, 2019.
  336. 1 2 "TOSHIBA COMMERCIALIZES INDUSTRY'S HIGHEST CAPACITY EMBEDDED NAND FLASH MEMORY FOR MOBILE CONSUMER PRODUCTS". Toshiba . April 17, 2007. Archived from the original on November 23, 2010. Retrieved November 23, 2010.
  337. 1 2 "Toshiba Launches the Largest Density Embedded NAND Flash Memory Devices". Toshiba. August 7, 2008. Retrieved June 21, 2019.
  338. "Toshiba Launches Industry's Largest Embedded NAND Flash Memory Modules". Toshiba . June 17, 2010. Retrieved June 21, 2019.
  339. "Samsung e·MMC Product family" (PDF). Samsung Electronics. December 2011. Archived from the original (PDF) on November 8, 2019. Retrieved July 15, 2019.
  340. Shilov, Anton (December 5, 2017). "Samsung Starts Production of 512 GB UFS NAND Flash Memory: 64-Layer V-NAND, 860 MB/s Reads". AnandTech . Retrieved June 23, 2019.
  341. Manners, David (January 30, 2019). "Samsung makes 1TB flash eUFS module". Electronics Weekly . Retrieved June 23, 2019.
  342. Tallis, Billy (October 17, 2018). "Samsung Shares SSD Roadmap for QLC NAND And 96-layer 3D NAND". AnandTech . Retrieved June 27, 2019.
  343. "Micron's 232 Layer NAND Now Shipping". AnandTech. July 26, 2022.
  344. "232-Layer NAND". Micron. Retrieved October 17, 2022.
  345. "First to Market, Second to None: the World's First 232-Layer NAND". Micron. July 26, 2022.
  346. "Comparison: Latest 3D NAND Products from YMTC, Samsung, SK hynix and Micron". TechInsights. January 11, 2023.
  347. Han-Way Huang (December 5, 2008). Embedded System Design with C805. Cengage Learning. p. 22. ISBN   978-1-111-81079-5. Archived from the original on April 27, 2018.
  348. Marie-Aude Aufaure; Esteban Zimányi (January 17, 2013). Business Intelligence: Second European Summer School, eBISS 2012, Brussels, Belgium, July 15-21, 2012, Tutorial Lectures. Springer. p. 136. ISBN   978-3-642-36318-4. Archived from the original on April 27, 2018.
  349. 1 2 3 4 "1965: Semiconductor Read-Only-Memory Chips Appear". Computer History Museum . Retrieved June 20, 2019.
  350. "1971: Reusable semiconductor ROM introduced". The Storage Engine. Computer History Museum . Retrieved June 19, 2019.
  351. Iizuka, H.; Masuoka, F.; Sato, Tai; Ishikawa, M. (1976). "Electrically alterable avalanche-injection-type MOS READ-ONLY memory with stacked-gate structure". IEEE Transactions on Electron Devices. 23 (4): 379–387. Bibcode:1976ITED...23..379I. doi:10.1109/T-ED.1976.18415. ISSN   0018-9383. S2CID   30491074.
  352. μCOM-43 SINGLE CHIP MICROCOMPUTER: USERS' MANUAL (PDF). NEC Microcomputers. January 1978. Retrieved June 27, 2019.
  353. "2716: 16K (2K x 8) UV ERASABLE PROM" (PDF). Intel. Archived from the original (PDF) on September 13, 2020. Retrieved June 27, 2019.
  354. "1982 CATALOG" (PDF). NEC Electronics . Retrieved June 20, 2019.
  355. Component Data Catalog (PDF). Intel. 1978. pp. 1–3. Retrieved June 27, 2019.
  356. "27256 Datasheet" (PDF). Intel . Retrieved July 2, 2019.
  357. "History of Fujitsu's Semiconductor Business". Fujitsu . Retrieved July 2, 2019.
  358. "D27512-30 Datasheet" (PDF). Intel . Retrieved July 2, 2019.
  359. "A Computer Pioneer Rediscovered, 50 Years On". The New York Times. April 20, 1994. Archived from the original on November 4, 2016.
  360. "History of Computers and Computing, Birth of the modern computer, Relays computer, George Stibitz". history-computer.com. Retrieved August 22, 2019. Initially the 'Complex Number Computer' performed only complex multiplication and division, but later a simple modification enabled it to add and subtract as well. It used about 400-450 binary relays, 6-8 panels, and ten multiposition, multipole relays called "crossbars" for temporary storage of numbers.
  361. 1 2 3 4 5 "1953: Transistorized Computers Emerge". Computer History Museum . Retrieved June 19, 2019.
  362. 1 2 "ETL Mark III Transistor-Based Computer". IPSJ Computer Museum. Information Processing Society of Japan . Retrieved June 19, 2019.
  363. 1 2 "Brief History". IPSJ Computer Museum. Information Processing Society of Japan . Retrieved June 19, 2019.
  364. "1962: Aerospace systems are first the applications for ICs in computers | The Silicon Engine | Computer History Museum". www.computerhistory.org. Retrieved September 2, 2019.
  365. 1 2 "PDP-8 (Straight 8) Computer Functional Restoration". www.pdp8.net. Retrieved August 22, 2019. backplanes contain 230 cards, approximately 10,148 diodes, 1409 transistors, 5615 resistors, and 1674 capacitors
  366. "IBM 608 calculator". IBM . January 23, 2003. Retrieved March 8, 2021.
  367. "【NEC】 NEAC-2201". IPSJ Computer Museum. Information Processing Society of Japan . Retrieved June 19, 2019.
  368. "【Hitachi and Japanese National Railways】 MARS-1". IPSJ Computer Museum. Information Processing Society of Japan . Retrieved June 19, 2019.
  369. The IBM 7070 Data Processing System. Avery et al. (page 167)
  370. "【Matsushita Electric Industrial】 MADIC-I transistor-based computer". IPSJ Computer Museum. Information Processing Society of Japan . Retrieved June 19, 2019.
  371. "【NEC】 NEAC-2203". IPSJ Computer Museum. Information Processing Society of Japan . Retrieved June 19, 2019.
  372. "【Toshiba】 TOSBAC-2100". IPSJ Computer Museum. Information Processing Society of Japan . Retrieved June 19, 2019.
  373. 7090 Data Processing System
  374. Luigi Logrippo. "My first two computers: Elea 9003 and Elea 6001: Memories of a 'bare-metal' programmer".
  375. "【Mitsubishi Electric】 MELCOM 1101". IPSJ Computer Museum. Information Processing Society of Japan . Retrieved June 19, 2019.
  376. Erich Bloch (1959). The Engineering Design of the Stretch Computer (PDF). Eastern Joint Computer Conference.
  377. "【NEC】NEAC-L2". IPSJ Computer Museum. Information Processing Society of Japan . Retrieved June 19, 2019.
  378. Thornton, James (1970). Design of a Computer: the Control Data 6600. p. 20.
  379. "Digital Equipment PDP-8/S".
  380. "The PDP-8/S - an exercise in cost reduction"
  381. "PDP-8/S"
  382. "The Digital Equipment Corporation PDP-8: Models and Options: The PDP-8/I".
  383. James F. O'Loughlin. "PDP-8/I: bigger on the inside yet smaller on the outside".
  384. Jan M. Rabaey, Digital Integrated Circuits, Fall 2001: Course Notes, Chapter 6: Designing Combinatorial Logic Gates in CMOS, retrieved October 27, 2012.
  385. Richard F. Tinder (January 2000). Engineering Digital Design. Academic Press. ISBN   978-0-12-691295-1.
  386. 1 2 3 4 Engineers, Institute of Electrical Electronics (2000). 100-2000 (7th ed.). doi:10.1109/IEEESTD.2000.322230. ISBN   978-0-7381-2601-2. IEEE Std 100-2000.
  387. 1 2 3 Smith, Kevin (August 11, 1983). "Image processor handles 256 pixels simultaneously". Electronics.
  388. Kanellos, Michael (February 9, 2005). "Cell chip: Hit or hype?". CNET News. Archived from the original on October 25, 2012.
  389. Kennedy, Patrick (June 2019). "Hands-on With a Graphcore C2 IPU PCIe Card at Dell Tech World". servethehome.com. Retrieved December 29, 2019.
  390. "Colossus  Graphcore". en.wikichip.org. Retrieved December 29, 2019.
  391. Graphcore. "IPU Technology". www.graphcore.ai.
  392. "Cerebras Unveils 2nd Gen Wafer Scale Engine: 850,000 Cores, 2.6 Trillion Transistors - ExtremeTech". www.extremetech.com. Retrieved April 22, 2021.
  393. "Cerebras Wafer Scale Engine WSE-2 and CS-2 at Hot Chips 34". ServeTheHome. August 23, 2022.
  394. "NVIDIA NVLink4 NVSwitch at Hot Chips 34". ServeTheHome. August 22, 2022.
  395. 1 2 Schor, David (April 6, 2019). "TSMC Starts 5-Nanometer Risk Production". WikiChip Fuse. Retrieved April 7, 2019.
  396. "1960: Metal Oxide Semiconductor (MOS) Transistor Demonstrated". Computer History Museum . Retrieved July 17, 2019.
  397. Lojek, Bo (2007). History of Semiconductor Engineering. Springer Science & Business Media. pp. 321–3. ISBN   9783540342588.
  398. "1963: Complementary MOS Circuit Configuration is Invented". Computer History Museum . Retrieved July 6, 2019.
  399. "1964: First Commercial MOS IC Introduced". Computer History Museum . Retrieved July 17, 2019.
  400. 1 2 Lojek, Bo (2007). History of Semiconductor Engineering. Springer Science & Business Media. p. 330. ISBN   9783540342588.
  401. Lambrechts, Wynand; Sinha, Saurabh; Abdallah, Jassem Ahmed; Prinsloo, Jaco (2018). Extending Moore's Law through Advanced Semiconductor Design and Processing Techniques. CRC Press. p. 59. ISBN   9781351248655.
  402. Belzer, Jack; Holzman, Albert G.; Kent, Allen (1978). Encyclopedia of Computer Science and Technology: Volume 10  Linear and Matrix Algebra to Microorganisms: Computer-Assisted Identification. CRC Press. p. 402. ISBN   9780824722609.
  403. "Intel Microprocessor Quick Reference Guide". Intel . Retrieved June 27, 2019.
  404. "1978: Double-well fast CMOS SRAM (Hitachi)" (PDF). Semiconductor History Museum of Japan. Retrieved July 5, 2019.
  405. "0.18-micron Technology". TSMC . Retrieved June 30, 2019.
  406. 1 2 3 4 65nm CMOS Process Technology
  407. Diefendorff, Keith (15 November 1999). "Hal Makes Sparcs Fly". Microprocessor Report , Volume 13, Number 5.
  408. 1 2 Cutress, Ian. "Intel's 10nm Cannon Lake and Core i3-8121U Deep Dive Review". AnandTech . Retrieved June 19, 2019.
  409. "Samsung Shows Industry's First 2-Gigabit DDR2 SDRAM". Samsung Semiconductor . Samsung. September 20, 2004. Retrieved June 25, 2019.
  410. Williams, Martyn (July 12, 2004). "Fujitsu, Toshiba begin 65nm chip trial production". InfoWorld . Retrieved June 26, 2019.
  411. Elpida's presentation at Via Technology Forum 2005 and Elpida 2005 Annual Report
  412. "Fujitsu Introduces World-class 65-Nanometer Process Technology for Advanced Server, Mobile Applications". Archived from the original on September 27, 2011. Retrieved June 20, 2019.
  413. 1 2 3 4 "Intel Now Packs 100 Million Transistors in Each Square Millimeter". IEEE Spectrum: Technology, Engineering, and Science News. March 30, 2017. Retrieved November 14, 2018.
  414. "40nm Technology". TSMC . Retrieved June 30, 2019.
  415. "Toshiba Makes Major Advances in NAND Flash Memory with 3-bit-per-cell 32nm generation and with 4-bit-per-cell 43nm technology". Toshiba . February 11, 2009. Retrieved June 21, 2019.
  416. 1 2 "History: 2010s". SK Hynix . Archived from the original on April 29, 2021. Retrieved July 8, 2019.
  417. Shimpi, Anand Lal (June 8, 2012). "SandForce Demos 19nm Toshiba & 20nm IMFT NAND Flash". AnandTech . Retrieved June 19, 2019.
  418. 1 2 Schor, David (April 16, 2019). "TSMC Announces 6-Nanometer Process". WikiChip Fuse. Retrieved May 31, 2019.
  419. "16/12nm Technology". TSMC . Retrieved June 30, 2019.
  420. 1 2 3 "VLSI 2018: Samsung's 8nm 8LPP, a 10nm extension". WikiChip Fuse. July 1, 2018. Retrieved May 31, 2019.
  421. "Samsung Mass Producing 128Gb 3-bit MLC NAND Flash". Tom's Hardware . April 11, 2013. Archived from the original on June 21, 2019. Retrieved June 21, 2019.
  422. "10nm Technology". TSMC . Retrieved June 30, 2019.
  423. 1 2 3 4 5 6 7 8 9 "Can TSMC maintain their process technology lead". SemiWiki. April 29, 2020.
  424. 1 2 Jones, Scotten (May 3, 2019). "TSMC and Samsung 5nm Comparison". Semiwiki. Retrieved July 30, 2019.
  425. 1 2 3 Nenni, Daniel (January 2, 2019). "Samsung vs TSMC 7nm Update". Semiwiki. Retrieved July 6, 2019.
  426. "7nm Technology". TSMC . Retrieved June 30, 2019.
  427. Schor, David (June 15, 2018). "A Look at Intel's 10nm Std Cell as TechInsights Reports on the i3-8121U, finds Ruthenium". WikiChip Fuse. Retrieved May 31, 2019.
  428. 1 2 "Samsung Foundry update 2019". SemiWiki. August 6, 2019.
  429. Jones, Scotten, 7nm, 5nm and 3nm Logic, current and projected processes
  430. Shilov, Anton. "Samsung Completes Development of 5nm EUV Process Technology". AnandTech . Retrieved May 31, 2019.
  431. 1 2 3 4 "Samsung Foundry Innovations Power the Future of Big Data, AI/ML and Smart, Connected Devices". October 7, 2021.
  432. "Qualcomm confirms Snapdragon 8 Gen 1 is made using Samsung's 4nm process". December 2, 2021.
  433. "List of Snapdragon 8 Gen 1 smartphones available since December 2021". January 14, 2022.
  434. 1 2 "TSMC Extends Its 5nm Family With A New Enhanced-Performance N4P Node". WikiChip. October 26, 2021.
  435. "MediaTek Launches Dimensity 9000 built on TSMC N4 process". December 16, 2021.
  436. "TSMC Expands Advanced Technology Leadership with N4P Process (press release)". TSMC. October 26, 2021.
  437. Armasu, Lucian (January 11, 2019), "Samsung Plans Mass Production of 3nm GAAFET Chips in 2021", www.tomshardware.com
  438. "Samsung Starts 3nm Production: The Gate-All-Around (GAAFET) Era Begins". AnandTech. June 30, 2022.
  439. "TSMC Plans New Fab for 3nm". EE Times . December 12, 2016. Retrieved September 26, 2019.
  440. 1 2 3 "TSMC Roadmap Update: 3nm in Q1 2023, 3nm Enhanced in 2024, 2nm in 2025". www.anandtech.com. October 18, 2021.
  441. "TSMC Introduces N4X Process (press release)". TSMC. December 16, 2021.
  442. "The Future Is Now (blog post)". TSMC. December 16, 2021.
  443. "TSMC Unveils N4X Node". AnandTech. December 17, 2021.
  444. 1 2 "TSMC roadmap update". AnandTech. April 22, 2022.
  445. Smith, Ryan (June 13, 2022). "Intel 4 Process Node In Detail: 2x Density Scaling, 20% Improved Performance". AnandTech.
  446. Alcorn, Paul (March 24, 2021). "Intel Fixes 7nm, Meteor Lake and Granite Rapids Coming in 2023". Tom's Hardware. Retrieved June 1, 2021.
  447. 1 2 3 4 Cutress, Dr Ian. "Intel's Process Roadmap to 2025: with 4nm, 3nm, 20A and 18A?!". www.anandtech.com. Retrieved July 27, 2021.
  448. 1 2 3 Cutress, Dr Ian (February 17, 2022). "Intel Discloses Multi-Generation Xeon Scalable Roadmap: New E-Core Only Xeons in 2024". www.anandtech.com.
  449. "Samsung Electronics Unveils Plans for 1.4nm Process Technology and Investment for Production Capacity at Samsung Foundry Forum 2022". Samsung Global Newsroom. October 4, 2022.