Intel i960

Last updated

Intel i960
KL Intel i960 PGA.jpg
Intel i960HA microprocessor
General information
LaunchedApril 6, 1988 [1]
Discontinued2007 [2]
Common manufacturer(s)
  • Intel
Performance
Max. CPU clock rate 10 MHz to 100 MHz
Data width32 bits (33 bits in Extended architecture)
Physical specifications
Cores
  • 1

Intel's i960 (or 80960) was a RISC-based microprocessor design that became popular during the early 1990s as an embedded microcontroller. It became a best-selling CPU in that segment, along with the competing AMD 29000. [3] In spite of its success, Intel stopped marketing the i960 in the late 1990s, as a result of a settlement with DEC whereby Intel received the rights to produce the StrongARM CPU. The processor continues to be used for a few military applications.

Contents

Origin

Intel N80960SA (PLCC Package) KL Intel i960 PLCC.jpg
Intel N80960SA (PLCC Package)
Intel GC80960RD66 (BGA Package) KL Intel i960 BGA.jpg
Intel GC80960RD66 (BGA Package)
Intel GC80960RN, sSpec: SL3YW, BGA Package KL Intel i960 BGA 2.jpg
Intel GC80960RN, sSpec: SL3YW, BGA Package
Intel FC80960HD66 (PQFP Package) KL Intel i960 PQFP.jpg
Intel FC80960HD66 (PQFP Package)

The i960 design was begun in response to the failure of Intel's iAPX 432 design of the early 1980s. The iAPX 432 was intended to directly support high-level languages that supported tagged, protected, garbage-collected memory—such as Ada and Lisp—in hardware. Because of its instruction-set complexity, its multi-chip implementation, and design flaws, the iAPX 432 was very slow in comparison to other processors of its time.

In 1984, Intel and Siemens started a joint project, ultimately called BiiN, to create a high-end, fault-tolerant, object-oriented computer system programmed entirely in Ada. Many of the original i432 team members joined this project, although a new lead architect, Glenford Myers, was brought in from IBM. The intended market for the BiiN systems was high-reliability-computer users such as banks, industrial systems, and nuclear power plants.

Intel's major contribution to the BiiN system was a new processor design, influenced by the protected-memory concepts from the i432. The new design was to include a number of features to improve performance and avoid problems that had led to the i432's downfall. The first 960 processors entered the final stages of design, known as taping-out , in October 1985 and were sent to manufacturing that month, with the first working chips arriving in late 1985 and early 1986.

The BiiN effort eventually failed, due to market forces, and the 960 was left without a use. Myers attempted to save the design by extracting several subsets of the full capability architecture created for the BiiN system. He tried to convince Intel management to market the i960 (then still known as the "P7") as a general-purpose processor, both in place of the Intel 80286 and i386 (which taped-out the same month as the first i960), as well as the emerging RISC market for Unix systems, including a pitch to Steve Jobs for use in the NeXT system. Myers was unsuccessful at convincing Intel management to support the i960 as a general-purpose or Unix processor, but the chip found a ready market in early high-performance 32-bit embedded systems.

The lead architect of i960 was superscalarity specialist Fred Pollack who was also the lead engineer of the Intel iAPX 432 and the lead architect of the i686 chip, the Pentium Pro. [4]

Architecture

The i960 family features four distinct architectures, designed for upward binary compatibility: [5]

In the initial release, the 80960KA supported the Core architecture, the 80960KB supported the Numerics architecture, the 80960MC supported the Protected architecture, and the 80960XA supported the Extended architecture.

To avoid the performance issues that plagued the i432, the central i960 instruction-set architecture was a RISC design. In the Extended architecture, the memory subsystem was 33-bits wide—to accommodate a 32-bit word and a "tag" bit to implement memory protection in hardware. In many ways, the i960 followed the original Berkeley RISC design, notably in its use of register windows, an implementation-specific number of caches for the per-subroutine registers that allowed for fast subroutine calls. The competing Stanford University design, MIPS, did not use this system, instead relying on the compiler to generate optimal subroutine call and return code. In common with most 32-bit designs, the i960 has a flat 32-bit memory space, with no memory segmentation, except for the Extended architecture, which could support up to 226 "objects", each up to 232 bytes in size. [6] The i960 architecture also anticipated a superscalar implementation, with instructions being simultaneously dispatched to more than one unit within the processor.

i960 variants

80960MC

The i960MC included all of the features of the original BiiN system; but these were simply not mentioned in the specifications, leading some[ who? ] to wonder why the i960MC was so large and had so many pins - 53 out of 132 [7] - labeled "no connect". Later iterations of the i960, like the 80960Jx series, have a more typical number of "do no connect" and use more power and ground pins and have additional I/O pins instead. [8] However, these "no connect" pins are actually not connected internally and unrelated to the BiiN feature set - the silicon die inside does not have bond pads for them. [9]

The 80960MC contains an on-chip memory management unit and supports fault tolerant systems in conjunction with Intel's M82965 Bus Extension Unit as well. Both chips meets MIL-STD-883C standard. Both chips became available in the first quarter of 1989 with the price of US$2400 and US$1700 respectively. Extended temperature samples became available in August 1988 as well. [10]

It contains 32 32-bit registers, a 512 byte instruction cache, a stack frame cache, a high speed 32-bit multiplexed burst bus, and an interrupt controller. [11] It also has 256 interrupt vectors and 32 levels of interrupt priority. [10]

80960XA

The 80960XA is a military member of the i960 family, implementing the Extended architecture, a superset of the military 80960MC. It supports object-oriented programming with a 33rd tag bit in hardware, a Capability. It supports the Joint Industrial Avionics Working Group (JIAWG) 32-bit ISA standard. [5]

80960KA, 80960KB

A version of the RISC core without memory management or an FPU became the i960KA, and the RISC core with an FPU became the i960KB. The versions were, however, identical internally—only the labeling was different. This meant the CPUs were much larger than necessary for the "actually supported" feature sets, and as a result, more expensive to manufacture than they needed to be.

These processors contain more than 350,000 transistors. These processors can perform around 7.5 VAX MIPS. The 80960KB version is compatible with IEEE 754 standard and can perform up to 4 MegaWhetstones. Both processors are available in 16 and 20 MHz using CHMOS-III technology. Both processors are packaged in 132-PGA. The 80960KA version is available for US$230 and the 80960KB version is available for US$390 in quantities of 100 respectively. [11]

The i960KA became successful as a low-cost 32-bit processor for the laser-printer market, as well as for early graphics terminals and other embedded applications. Its success paid for future generations, which were without the complex memory sub-system.

80960CA, 80960CF

The i960CA was announced in July 1989. It featured a newly designed superscalar RISC core and added an unusual addressable on-chip cache, but lacked an FPU and MMU, as it was intended for high-performance embedded applications. The i960CA is widely considered [12] to have been the first single-chip superscalar RISC implementation. The C-series included only one ALU, but could dispatch and execute an arithmetic instruction, a memory reference, and a branch instruction at the same time, and sustain two instructions per cycle under certain circumstances. The first versions released ran at 33 MHz, and Intel promoted the chip as capable of 66 MIPS. The i960CA microarchitecture was designed in 1987–1988 and formally announced on September 12, 1989.

Later, in May 1992, came the i960CF, which included a larger instruction cache (4 KB instead of 1 KB) and added 1 KB of data cache, but was still without an FPU or MMU.

80960MX

The 80960MX is a superscalar implementation of the Extended architecture, executing up to three instructions per clock execution for sustained performance of 25 VAX MIPS. [13] It implemented the Joint Industrial Avionics Working Group (JIAWG) 32-bit ISA standard. It was originally packaged in a 348 lead ceramic pin grid array and later supplied as a bare die. The i960 MX supports object-oriented programming. A 33rd tag bit distinguished between a 32-bit data word and a 32-bit pointer to memory. This prohibited forged pointers to protected areas of memory.

80960Jx

The 80960Jx is a processor for embedded applications. It features a 32-bit multiplexed address/data bus, instruction and data cache, 1K on-chip RAM, interrupt controller, and two independent 32-bit timers. The 80960Jx's testability features included ONCE (on-circuit emulation) mode and boundary scan (JTAG).

80960HA, 80960HD, 80960HT

The 80960Hx processors offered upgraded performance from the Cx variants by offering clock multiplication, larger 16K instruction cache and 4k data cache, and a GMU. (Guarded Memory Unit). The HD variant had an internal 2x clock multiplication while the HT version has a 3x clock multiplication, allowing increased performance without external bus speed changes.

80960VH

Announced in October 1998, the i960VH Embedded-PCI processor featured a 32-bit 33 MHz PCI bus and 100 MHz i960JT processor core. The core also featured 16 KB of instruction cache, 4 KB of data cache, and 1 KB of built-in RAM. Other core features included two 32-bit timers, programmable interrupt controller, I²C interface, and a two-channel DMA controller.

80960Rx

The 80960Rx processors were labeled as I/O Processors and included an implementation of the PCI Bus (2.1 or 2.2 depending on the variant) as well as a 80960Jx core. These could be used on motherboards to implement on-board PCI device as well as on PCI expansion cards. The RM/RN/RS variants used a JT core with a 3x bus to core multiplication to achieve 100 MHz internal clock speeds, while the RD variant used a JF core with 2x multiplication to achieve 66 MHz. The RP variant had a JF core that ran at the 33 MHz bus speed.

Variant specifications

5 V Parts
Model NumberCore FrequencyBus FrequencyMultiplieriCachedCacheVoltageSocket
80960MC20,25 MHz20,25 MHz1.0x0,5 KBytenone5.0 VPGA-132
80960SA10,12,16,20 MHz10,12,16,20 MHz1.0x0,5 KBytenone5.0 V80-PQFP,84-PLCC
80960SB10,16 MHz10,16 MHz1.0x0,5 KBytenone5.0 V80-PQFP,84-PLCC
80960KA10,20,25 MHz10,20,25 MHz1.0x0,5 KBytenone5.0 V132-PQFP,132-PGA
80960KB16,20,25 MHz16,20,25 MHz1.0x0,5 KBytenone5.0 V132-PQFP,132-PGA
80960CA16,25,33 MHz16,25,33 MHz1.0x1 KBytenone5.0 V168-PGA,196-PQFP
80960CF25,33,40 MHz25,33,40 MHz1.0x4 KByte1 KByte5.0 V168-PGA,196-PQFP
3.3 V Parts
Model NumberCore FrequencyBus FrequencyMultiplieriCachedCacheVoltageSocket
80960JA16,25,33 MHz16,25,33 MHz1.0x2 KByte1 KByte3.3 V132-PQFP,132-PGA
80960JF25,33 MHz25,33 MHz1.0x4 KByte2 KByte3.3 V132-PQFP,132-PGA
80960JD33,40,50,66 MHz16,20,25,33 MHz2.0x4 KByte2 KByte3.3 V132-PQFP,132-PGA
80960JS25,33 MHz25,33 MHz1.0x16 KByte4 KByte3.3 V132-PQFP,132-PGA,196-MPBGA
80960JC50,66 MHz25,33 MHz2.0x16 KByte4 KByte3.3 V132-PQFP,132-PGA,196-MPBGA
80960JT75,100 MHz25,33 MHz3.0x16 KByte4 KByte3.3 V132-PQFP,132-PGA,196-MPBGA
80960HA25,33,40 MHz25,33,40 MHz1.0x16 KByte8 KByte3.3 V168-PGA,208-PQ4
80960HD50,66,80 MHz25,33,40 MHz2.0x16 KByte8 KByte3.3 V168-PGA,208-PQ4
80960HT75 MHz25 MHz3.0x16 KByte8 KByte3.3 V168-PGA,208-PQ4
PCI I/O Processor Variants
Model NumberCore FrequencyBus FrequencyMultiplieriCachedCachePCI VersionVoltageSocket
80960RP33 MHz33 MHz1.0x4 KB2 KB PCI 2.13.3 V352-PBGA
80960RD66 MHz33 MHz2.0x4 KB2 KB PCI 2.13.3 V352-PBGA
80960VH100 MHz33 MHz3.0x16 KB4 KB PCI 2.13.3 V324-PBGA
80960RM/N100 MHz33 MHz3.0x16 KB4 KB PCI 2.13.3 V540-PBGA
80960RS100 MHz33 MHz3.0x16 KB4 KB PCI 2.23.3 V540-PBGA
80302/3100 MHz66 MHz1.5x16 KB4 KB PCI 2.23.3 V540-PBGA

End of development

Intel attempted to bolster the i960 in the I/O device controller market with the I2O standard, but this had little success and the design work was eventually ended. By the mid-1990s its price/performance ratio had fallen behind competing chips of more recent design, and Intel never produced a reduced-power-consumption version that could be used in battery-powered systems.

In 1990, the i960 team was redirected to be the "second team" working in parallel on future i386 implementations—specifically the P6 processor, which later became the Pentium Pro. The i960 project was given to another smaller development team, essentially ensuring the end of its developmental life.

Current status

Some i960 I/O processors, such as the 80303, include a built-in hardware XOR engine for RAID algorithms. [14] They are used as controllers for higher-end, RAID-capable, SCSI-disk-array, host-adapter cards as well as Digital Equipment/Compaq/HP's high-end SCSI and DSSI, and eventually Fibre Channel HSx-series, standalone RAID controllers. [15] [16]

An i960RS chip also powers Adaptec's AAR-2400A controller, which uses four commodity parallel ATA drives to build an affordable RAID-5 protected fault-tolerant storage system for small PC servers and workstations.

The i960 was also used in some Brocade Fibre Channel switches to run Fabric OS.

The i960 architecture is also used in slot machines. Currently, they are found in IGT's Stepper S2000 family and i960 video family. It was also used as the main CPU of Sega's famous Model 2 series of arcade boards.

The Indian Air Force's HAL Tejas light combat aircraft's MMR (multi-mode radar) is said to use the i960. The HAL Tejas was introduced into service in 2015.

The Indian Space Research Organisation (ISRO) is said to use the chip in its on-board computers in its launch vehicles.

The i960 processor is also used in Automatic Radar Plotting Aid (ARPA) interfacing boards in radars from Kelvin Hughes.

The chip was used on some HP X-Terminals.

Some SATA RAID controllers use Intel's 80303 IOP (Intelligent I/O Processor), which integrates a PCI-to-PCI bridge, memory controller, and a 80960JT-100 CPU core.

The chip was used on the Alcatel-Lucent 1000 ADSL broadband modem. [17]

Related Research Articles

i486 Successor to the Intel 386

The Intel 486, officially named i486 and also known as 80486, is a microprocessor. It is a higher-performance follow-up to the Intel 386. The i486 was introduced in 1989. It represents the fourth generation of binary compatible CPUs following the 8086 of 1978, the Intel 80286 of 1982, and 1985's i386.

<span class="mw-page-title-main">Pentium (original)</span> Intel microprocessor

The Pentium is a x86 microprocessor introduced by Intel on March 22, 1993. It is the first CPU using the Pentium brand. Considered the fifth generation in the 8086 compatible line of processors, its implementation and microarchitecture was internally called P5.

<span class="mw-page-title-main">StrongARM</span> Family of computer microprocessors

The StrongARM is a family of computer microprocessors developed by Digital Equipment Corporation and manufactured in the late 1990s which implemented the ARM v4 instruction set architecture. It was later acquired by Intel in 1997 from DEC's own Digital Semiconductor division as part of a settlement of a lawsuit between the two companies over patent infringement. Intel then continued to manufacture it before replacing it with the StrongARM-derived ARM-based follow-up architecture called XScale in the early 2000s.

The 88000 is a RISC instruction set architecture developed by Motorola during the 1980s. The MC88100 arrived on the market in 1988, some two years after the competing SPARC and MIPS. Due to the late start and extensive delays releasing the second-generation MC88110, the m88k achieved very limited success outside of the MVME platform and embedded controller environments. When Motorola joined the AIM alliance in 1991 to develop the PowerPC, further development of the 88000 ended.

<span class="mw-page-title-main">Transputer</span> Series of pioneering microprocessors from the 1980s

The transputer is a series of pioneering microprocessors from the 1980s, intended for parallel computing. To support this, each transputer had its own integrated memory and serial communication links to exchange data with other transputers. They were designed and produced by Inmos, a semiconductor company based in Bristol, United Kingdom.

SuperH is a 32-bit reduced instruction set computing (RISC) instruction set architecture (ISA) developed by Hitachi and currently produced by Renesas. It is implemented by microcontrollers and microprocessors for embedded systems.

XScale is a microarchitecture for central processing units initially designed by Intel implementing the ARM architecture instruction set. XScale comprises several distinct families: IXP, IXC, IOP, PXA and CE, with some later models designed as system-on-a-chip (SoC). Intel sold the PXA family to Marvell Technology Group in June 2006. Marvell then extended the brand to include processors with other microarchitectures, like Arm's Cortex.

<span class="mw-page-title-main">Pentium Pro</span> Sixth-generation x86 microprocessor by Intel

The Pentium Pro is a sixth-generation x86 microprocessor developed and manufactured by Intel and introduced on November 1, 1995. It introduced the P6 microarchitecture and was originally intended to replace the original Pentium in a full range of applications. While the Pentium and Pentium MMX had 3.1 and 4.5 million transistors, respectively, the Pentium Pro contained 5.5 million transistors. Later, it was reduced to a more narrow role as a server and high-end desktop processor and was used in supercomputers like ASCI Red, the first computer to reach the trillion floating point operations per second (teraFLOPS) performance mark in 1996. The Pentium Pro was capable of both dual- and quad-processor configurations. It only came in one form factor, the relatively large rectangular Socket 8. The Pentium Pro was succeeded by the Pentium II Xeon in 1998.

<span class="mw-page-title-main">Geode (processor)</span> Series of x86-compatible processor

Geode was a series of x86-compatible system-on-a-chip (SoC) microprocessors and I/O companions produced by AMD, targeted at the embedded computing market.

The POWER1 is a multi-chip CPU developed and fabricated by IBM that implemented the POWER instruction set architecture (ISA). It was originally known as the RISC System/6000 CPU or, when in an abbreviated form, the RS/6000 CPU, before introduction of successors required the original name to be replaced with one that used the same naming scheme (POWERn) as its successors in order to differentiate it from the newer designs.

<span class="mw-page-title-main">AMD Am29000</span> Family of RISC microprocessors and microcontrollers

The AMD Am29000, commonly shortened to 29k, is a family of 32-bit RISC microprocessors and microcontrollers developed and fabricated by Advanced Micro Devices (AMD). Based on the seminal Berkeley RISC, the 29k added a number of significant improvements. They were, for a time, the most popular RISC chips on the market, widely used in laser printers from a variety of manufacturers.

<span class="mw-page-title-main">MediaGX</span> Series of x86-compatible processor

The MediaGX CPU is an x86-compatible processor that was designed by Cyrix and manufactured by National Semiconductor following the two companies' merger. It was introduced in 1997. The core is based on the integration of the Cyrix Cx5x86 CPU core with hardware to process video and audio output. Following the buyout of Cyrix by National Semiconductor and the sale of the Cyrix name and trademarks to VIA Technologies, the core was developed by National Semiconductor into the Geode line of processors, which was subsequently sold to Advanced Micro Devices.

<span class="mw-page-title-main">R3000</span> RISC microprocessor

The R3000 is a 32-bit RISC microprocessor chipset developed by MIPS Computer Systems that implemented the MIPS I instruction set architecture (ISA). Introduced in June 1988, it was the second MIPS implementation, succeeding the R2000 as the flagship MIPS microprocessor. It operated at 20, 25 and 33.33 MHz.

<span class="mw-page-title-main">R5000</span>

The R5000 is a 64-bit, bi-endian, superscalar, in-order execution 2-issue design microprocessor that implements the MIPS IV instruction set architecture (ISA) developed by Quantum Effect Design (QED) in 1996. The project was funded by MIPS Technologies, Inc (MTI), also the licensor. MTI then licensed the design to Integrated Device Technology (IDT), NEC, NKK, and Toshiba. The R5000 succeeded the QED R4600 and R4700 as their flagship high-end embedded microprocessor. IDT marketed its version of the R5000 as the 79RV5000, NEC as VR5000, NKK as the NR5000, and Toshiba as the TX5000. The R5000 was sold to PMC-Sierra when the company acquired QED. Derivatives of the R5000 are still in production today for embedded systems.

<span class="mw-page-title-main">History of general-purpose CPUs</span> History of processors used in general purpose computers

The history of general-purpose CPUs is a continuation of the earlier history of computing hardware.

The PowerPC e600 is a family of 32-bit PowerPC microprocessor cores developed by Freescale for primary use in high performance system-on-a-chip (SoC) designs with speed ranging over 2 GHz, thus making them ideal for high performance routing and telecommunications applications. The e600 is the continuation of the PowerPC 74xx design.

<span class="mw-page-title-main">Vortex86</span> X86-compatible system-on-a-chip

The Vortex86 is a computing system-on-a-chip (SoC) based on a core compatible with the x86 microprocessor family. It is produced by DM&P Electronics, but originated with Rise Technology.

HP X-Terminals are a line of X terminals from Hewlett Packard introduced in the early- to mid-1990s, including the 700/X and 700/RX, Envizex and Entria, and the Envizex II and Entria II. They were often sold alongside PA-RISC-based HP 9000 Unix systems. The primary use case was connecting several graphical consoles to a single server or workstation to allow multiple users access the same (expensive) processing system from terminal systems. These X-Terminals all allowed high-resolution, color-graphics access to the main server from which they downloaded their operating system and necessary program files. All models featured limited expandability, in most cases additional I/O options for peripherals and memory for more programs or local storage. HP did not use its own PA-RISC platform for these systems, the first design used an Intel CISC processor, while all later systems used RISC platforms, first Intel i960 and later the popular MIPS.

<span class="mw-page-title-main">Alpha 21164</span> Microprocessor

The Alpha 21164, also known by its code name, EV5, is a microprocessor developed and fabricated by Digital Equipment Corporation that implemented the Alpha instruction set architecture (ISA). It was introduced in January 1995, succeeding the Alpha 21064A as Digital's flagship microprocessor. It was succeeded by the Alpha 21264 in 1998.

Since 1985, many processors implementing some version of the MIPS architecture have been designed and used widely.

References

  1. "A New Family of Intel Chips". New York Times. April 6, 1988. Retrieved December 4, 2023.
  2. Smith, Tony (May 18, 2006). "Intel cashes in ancient chips". The Register . Retrieved January 24, 2020.
  3. Turley, Jim (January 11, 2002). "Embedded Processors, Part One". PCMag.com . Retrieved September 8, 2018.
  4. Dvorak, John C. (2008). "Whatever Happened to The iAPX432 — Intel's Dream Chip". Dvorak.org. Retrieved September 8, 2018.
  5. 1 2 "80960XA Embedded 32-bit Microprocessor with 33rd Tag Bit to Support Object-Oriented Programming and Data Security" (PDF). Intel.
  6. BiiN CPU Architecture Reference Manual (PDF). BiiN. July 1998.
  7. "80960MC EMBEDDED 32-BIT MICROPROCESSOR WITH INTEGRATED FLOATING-POINT UNIT AND MEMORY MANAGEMENT UNIT" (PDF). Intel. 1990. pp. 24–29. Retrieved April 5, 2023.
  8. "80960JA/JF/JD/JT 3.3 V EMBEDDED 32-BIT MICROPROCESSOR" (PDF). Intel. March 1998. pp. 22–25. Retrieved April 5, 2023.
  9. Shirriff, Ken (July 1, 2023). "The complex history of the Intel i960 RISC processor" . Retrieved July 1, 2023. The original i960 chips (KA/KB/MC/XA) have a large number of pins that are not connected (marked NC on the datasheet) [...] checking the datasheets shows that all four chips have the same pinout; there are no pins connected only in the more advanced versions. Second, looking at the packaged chip (below) explains why so many pins are unconnected: much of the chip has no bond pads, so there is nothing to connect the pins to.
  10. 1 2 Lewnes, Ann, "Intel's 80960 & 80376 Standouts in the 32-Bit Crowd", Intel Corporation, Microcomputer Solutions, July/August 1988, page 2
  11. 1 2 Ormsby, Jon, Editor, "New Product Focus: Components: Intel Enters The World Of 32-Bit Embedded Control", Intel Corporation, Microcomputer Solutions, May/June 1988, page 9
  12. Shen, John Paul; Lipasti, Mikko H. (2003). Modern Processor Design: Fundamentals of Superscalar Processors (Beta ed.). McGraw Hill. p. 328. ISBN   0-07-282968-0.
  13. "i960 MX Processor". Military and Special Products Handbook. Intel. 1993. pp. 11-40 to 11-89.
  14. "Intel 80960RM I/O Processor Data Sheet" (PDF)..
  15. "On Platform Storageworks Seminar notes". Archived from the original on April 25, 2012.
  16. "Intel Expands I/O Building Block Family To Include Intel Integrated RAID Design Kit, Software And Controller" (Press release). SANTA CLARA, Calif.: Intel. January 19, 2000. Archived from the original on August 29, 2020. Retrieved August 29, 2020.
  17. "Image of the circuit board of an Alcatel 1000 ADSL modem". security.sdsc.edu. Retrieved September 24, 2018.