I486

Last updated

i486
Intel i486 logo.svg
80486dx2-large.jpg
The exposed die of an Intel 486DX2
General information
LaunchedApril 10, 1989 [1]
DiscontinuedSeptember 28, 2007 [2]
Designed by Intel, with Pat Gelsinger as chief architect
Common manufacturer(s)
Performance
Max. CPU clock rate 16  to 100 MHz [lower-alpha 1]
FSB speeds16 MHz to 50 MHz
Data width32 bits [3]
Address width32 bits [3]
Virtual address width32 bits (linear); 46 bits (logical) [3]
Cache
L1 cache 8 KB to 16 KB
Architecture and classification
Technology node 1 µm to 600 nm
Instruction set x86-16, IA-32 including x87 (except for "SX" models)
Physical specifications
Transistors
  • 1.2 [1] –1.6 million
Co-processor Intel 80487SX
Package(s)
History
Predecessor(s) Intel 386
Successor(s) Pentium/i586 (P5)
Support status
Unsupported

The Intel 486, officially named i486 and also known as 80486, is a microprocessor. It is a higher-performance follow-up to the Intel 386. The i486 was introduced in 1989. It represents the fourth generation of binary compatible CPUs following the 8086 of 1978, the Intel 80286 of 1982, and 1985's i386.

Contents

It was the first tightly-pipelined [lower-alpha 3] x86 design as well as the first x86 chip to include more than one million transistors. It offered a large on-chip cache and an integrated floating-point unit.

When it was announced, the initial performance was originally published between 15 and 20 VAX MIPS, between 37,000 and 49,000 dhrystones per second, and between 6.1 and 8.2 double-precision megawhetstones per second for both 25 and 33 MHz version. [1] A typical 50 MHz i486 executes 41 million instructions per second Dhrystone MIPS and SPEC integer rating of 27.9. [4] It is approximately twice as fast as the i386 or i286 per clock cycle. The i486's improved performance is thanks to its five-stage pipeline with all stages bound to a single cycle. The enhanced FPU unit on the chip was significantly faster than the i387 FPU per cycle. The Intel 80387 FPU ("i387") was a separate, optional math coprocessor that was installed in a motherboard socket alongside the i386.

The i486 was succeeded by the original Pentium. Orders were discontinued for the i486 on March 30, 2007 and the last shipments were on September 28, 2007. [5]

History

The concept of this microprocessor generation was discussed with Pat Gelsinger and John Crawford shortly after the release of 386 processor in 1985. The team started the computer simulation in early 1987. They finalized the logic and microcode function during 1988. The team finalized the database in February 1989 until the tape out on March 1. They received the first silicon from the fabrication on March 20. [6]

The i486 was announced at Spring Comdex in April 10, 1989. [1] At the announcement, Intel stated that samples would be available in the third quarter and production quantities would ship in the fourth quarter. [7] The first i486-based PCs were announced in late 1989. [8]

In fall of 1991, Intel introduced the 50-MHz i486 DX using the three layer 800-nm process CHMOS-V technology. They were available for USD $665 in 1,000-unit quantities. [9]

In that season, Intel introduced low-power 25 MHz Intel486 DX microprocessor. This one was available for USD $471. Also, there were low-power 16, 20, and 25 MHz Intel486 SX microprocessors. They were available USD $235, USD $266, and USD $366 for these frequency range respectfully. All pricing were in quantities of 1,000 pieces. These low-power microprocessor reduces between 50 and 75% of power consumption with similar regular version of these CPUs. [10]

The first major update to the i486 design came in March 1992 with the release of the clock-doubled 486DX2 series. [11] It was the first time that the CPU core clock frequency was separated from the system bus clock frequency by using a dual clock multiplier, supporting 486DX2 chips at 40 and 50 MHz. The faster 66 MHz 486DX2-66 was released that August. [11]

The fifth-generation Pentium processor launched in 1993, while Intel continued to produce i486 processors, including the triple-clock-rate 486DX4-100 with a 100 MHz clock speed and a L1 cache doubled to 16 KB. [11]

Earlier, Intel had decided not to share its 80386 and 80486 technologies with AMD. However, AMD believed that their technology sharing agreement extended to the 80386 as a derivative of the 80286. [11] AMD reverse-engineered the 386 and produced the 40 MHz Am386DX-40 chip, which was cheaper and had lower power consumption than Intel's best 33 MHz version. [11] Intel attempted to prevent AMD from selling the processor, but AMD won in court, which allowed it to establish itself as a competitor. [12]

AMD continued to create clones, releasing the first-generation Am486 chip in April 1993 with clock frequencies of 25, 33 and 40 MHz. Second-generation Am486DX2 chips with 50, 66 and 80 MHz clock frequencies were released the following year. [11] The Am486 series was completed with a 120 MHz DX4 chip in 1995. [11]

AMD's long-running 1987 arbitration lawsuit against Intel was settled in 1995, and AMD gained access to Intel's 80486 microcode. [11] This led to the creation of two versions of AMD's 486 processor - one reverse-engineered from Intel's microcode, while the other used AMD's microcode in a clean room design process. However, the settlement also concluded that the 80486 would be AMD's last Intel clone. [11]

Another 486 clone manufacturer was Cyrix, which was a fabless co-processor chip maker for 80286/386 systems. The first Cyrix 486 processors, the 486SLC and 486DLC, were released in 1992 and used the 80386 package. [11] Both Texas Instruments-manufactured Cyrix processors were pin-compatible with 386SX/DX systems, which allowed them to become an upgrade option. [12] However, these chips could not match the Intel 486 processors, having only 1 KB of cache memory and no built-in math coprocessor. In 1993, Cyrix released its own Cx486DX and DX2 processors, which were closer in performance to Intel's counterparts. Intel and Cyrix sued each other, with Intel filing for patent infringement and Cyrix for antitrust claims. In 1994, Cyrix won the patent infringement case and dropped its antitrust claim. [11]

In 1995, both Cyrix and AMD began looking at a ready market for users wanting to upgrade their processors. Cyrix released a derivative 486 processor called the 5x86, based on the Cyrix M1 core, which was clocked up to 120 MHz and was an option for 486 Socket 3 motherboards. [11] [12] AMD released a 133 MHz Am5x86 upgrade chip, which was essentially an improved 80486 with double the cache and a quad multiplier that also worked with the original 486DX motherboards. [11] Am5x86 was the first processor to use AMD's performance rating and was marketed as Am5x86-P75, with claims that it was equivalent to the Pentium 75. [12] Kingston Technology launched a 'TurboChip' 486 system upgrade that used a 133 MHz Am5x86. [11]

Intel responded by making a Pentium OverDrive upgrade chip for 486 motherboards, which was a modified Pentium core that ran up to 83 MHz on boards with a 25 or 33 MHz front-side bus clock. OverDrive wasn't popular due to speed and price. [11] The 486 was declared obsolete as early as 1996, with a Florida school district's purchase of a fleet of 486DX4 machines in that year sparking controversy. New computers equipped with 486 processors in discount warehouses became scarce, and an IBM spokesperson called it a "dinosaur". [13] Even after the Pentium series of processors gained a foothold in the market, however, Intel continued to produce 486 cores for industrial embedded applications. Intel discontinued production of i486 processors in late 2007. [11]

Improvements

The 486DX2 architecture 80486DX2 arch.svg
The 486DX2 architecture
i486 registers
31...15...07...00(bit position)
Main registers(8/16/32 bits)
EAXAHALA register
EBXBHBLB register
ECXCHCLC register
EDXDHDLD register
Index registers(16/32 bits)
ESISISource Index
EDIDIDestination Index
EBPBPBase Pointer
ESPSPStack Pointer
Program counter(16/32 bits)
EIPIPInstruction Pointer
Segment selectors(16 bits)
 CSCode Segment
 DSData Segment
 ESExtra Segment
 FSFSegment
 GSGSegment
 SSStack Segment
Status register
 171615141312111009080706050403020100(bit position)
  V R0N IOPL O D I T S Z 0 A 0 P 1 C EFlags
Floating-point registers(80 bits)
79...00(bit position)
ST0STack register 0
ST1STack register 1
ST2STack register 2
ST3STack register 3
ST4STack register 4
ST5STack register 5
ST6STack register 6
ST7STack register 7

The instruction set of the i486 is very similar to the i386, with the addition of a few extra instructions, such as CMPXCHG, a compare-and-swap atomic operation, and XADD, a fetch-and-add atomic operation that returned the original value (unlike a standard ADD, which returns flags only). This generation CPU has brought up to 156 different instructions listing. [14]

The i486's performance architecture is a vast improvement over the i386. It has an on-chip unified instruction and data cache, an on-chip floating-point unit (FPU) and an enhanced bus interface unit. Due to the tight pipelining, sequences of simple instructions (such as ALU reg,reg and ALU reg,im) could sustain single-clock-cycle throughput (one instruction completed every clock). In other words, it was running about 1.8 clocks per instruction. [6] These improvements yielded a rough doubling in integer ALU performance over the i386 at the same clock rate. A 16 MHz i486 therefore had performance similar to a 33 MHz i386. The older design had to reach 50 MHz to be comparable with a 25 MHz i486 part. [lower-alpha 4]

Differences between i386 and i486

Just as in the i386, a flat 4 GB memory model could be implemented. All "segment selector" registers could be set to a neutral value in protected mode, or to zero in real mode, and using only the 32-bit "offset registers" (x86-terminology for general CPU registers used as address registers) as a linear 32-bit virtual address bypassing the segmentation logic. Virtual addresses were then normally mapped onto physical addresses by the paging system except when it was disabled (real mode had no virtual addresses). Just as with the i386, circumventing memory segmentation could substantially improve performance for some operating systems and applications.

On a typical PC motherboard, either four matched 30-pin (8-bit) SIMMs or one 72-pin (32-bit) SIMM per bank were required to fit the i486's 32-bit data bus. The address bus used 30-bits (A31..A2) complemented by four byte-select pins (instead of A0,A1) to allow for any 8/16/32-bit selection. This meant that the limit of directly addressable physical memory was 4  gigabytes as well (23032-bit words = 2328-bit words).

Models

Intel offered several suffixes and variants (see table). Variants include:

The maximal internal clock frequency (on Intel's versions) ranged from 16 to 100 MHz. The 16 MHz i486SX model was used by Dell Computers.

One of the few i486 models specified for a 50 MHz bus (486DX-50) initially had overheating problems and was moved to the 0.8-micrometer fabrication process. However, problems continued when the 486DX-50 was installed in local-bus systems due to the high bus speed, making it unpopular with mainstream consumers. Local-bus video was considered a requirement at the time, though it remained popular with users of EISA systems. The 486DX-50 was soon eclipsed by the clock-doubled i486DX2, which although running the internal CPU logic at twice the external bus speed (50 MHz), was nevertheless slower because the external bus ran at only 25 MHz. The i486DX2 at 66 MHz (with 33 MHz external bus) was faster than the 486DX-50, overall.

More powerful i486 iterations such as the OverDrive and DX4 were less popular (the latter available as an OEM part only), as they came out after Intel had released the next-generation Pentium processor family. Certain steppings of the DX4 also officially supported 50 MHz bus operation, but it was a seldom-used feature.

ModelCPU/bus
clock speed
Voltage L1 cache*IntroducedNotes
Intel i486 DX 25MHz SX328.jpg
Intel i486 DX-33.jpg
Intel i486 dx 50mhz 2007 03 27.jpg
i486DX (P4)20, 25 MHz
33 MHz
50 MHz
5 V8 KB WTApril 1989
May 1990
June 1991
The original chip without clock multiplier
KL Intel 486SL.jpg
i486SL20, 25, 33 MHz5 V or 3.3 V8 KB WTNovember 1992Low-power version of the i486DX, reduced VCore, SMM (System Management Mode), stop clock, and power-saving features — mainly for use in portable computers
Intel i486 sx 33mhz 2007 03 27.jpg
i486SX (P23)16, 20, 25 MHz
33 MHz
5 V8 KB WTSeptember 1991
September 1992
An i486DX with the FPU part disabled; later versions had the FPU removed from the die to reduce area and hence cost.
Intel i486 dx2 66mhz 2007 03 27.jpg
i486DX2 (P24)40/20, 50/25 MHz
66/33 MHz
5 V8 KB WTMarch 1992
August 1992
The internal processor clock runs at twice the clock rate of the external bus clock
i486DX-S (P4S)33 MHz; 50 MHz5 V or 3.3 V8 KB WTJune 1993SL Enhanced 486DX
KL Intel i486DX2 PQFP.jpg
i486DX2-S (P24S)40/20 MHz,
50/25 MHz,
(66/33 MHz)
5 V or 3.3 V8 KB WTJune 1993SL Enhanced 486DX2
KL Intel i486SX PQFP.jpg
i486SX-S (P23S)25, 33 MHz5 V or 3.3 V8 KB WTJune 1993SL Enhanced 486SX
KL intel i486SX2.jpg
i486SX250/25, 66/33 MHz5 V8 KB WTMarch 1994i486DX2 with the FPU disabled
FC80486DX4-75 AK SX883 USA 1995 01 WT.jpg
Intel i486 DX4 100 MHz SK051.jpeg
IntelDX4 (P24C)75/25, 100/33 MHz3.3 V16 KB WTMarch 1994Designed to run at triple clock rate (not quadruple, as often believed; the DX3, which was meant to run at 2.5× the clock speed, was never released). DX4 models that featured write-back cache were identified by an "&EW" laser-etched into their top surface, while the write-through models were identified by "&E".
Intel i486 DX2 66 CPU SX955.jpg
i486DX2WB (P24D)50/25 MHz,
66/33 MHz
5 V8 KB WBOctober 1994Enabled write-back cache.
Intel i486 dx4 100mhz 2007 03 27.jpg
IntelDX4WB100/33 MHz3.3 V16 KB WBOctober 1994
i486DX2 (P24LM)90/30 MHz,
100/33 MHz
2.5–2.9 V8 KB WT1994
KL Intel i486GX.jpg
i486GXup to 33 MHz3.3 V8 KB WTEmbedded ultra-low-power CPU with all features of the i486SX and 16-bit external data bus. This CPU is for embedded battery-operated and hand-held applications.

*WT = write-through cache strategy, WB = write-back cache strategy

Other makers of 486-like CPUs

STMicroelectronics' ST ST486DX2-40 KL STMicroelectronics ST486DX2-40.jpg
STMicroelectronics' ST ST486DX2-40
UMC Green CPU U5SX KL UMC U5SX.jpg
UMC Green CPU U5SX
Cyrix Cx486DRx2 KL Cyrix 486DRx2.jpg
Cyrix Cx486DRx²

Processors compatible with the i486 were produced by companies such as IBM, Texas Instruments, AMD, Cyrix, UMC, and STMicroelectronics (formerly SGS-Thomson). Some were clones (identical at the microarchitectural level), others were clean room implementations of the Intel instruction set. (IBM's multiple-source requirement was one of the reasons behind its x86 manufacturing since the 80286.) The i486 was, however, covered by many Intel patents, including from the prior i386. Intel and IBM had broad cross-licenses of these patents, and AMD was granted rights to the relevant patents in the 1995 settlement of a lawsuit between the companies. [15]

AMD produced several clones using a 40 MHz bus (486DX-40, 486DX/2-80, and 486DX/4-120) which had no Intel equivalent, as well as a part specified for 90 MHz, using a 30 MHz external clock, that was sold only to OEMs. The fastest running i486-compatible CPU, the Am5x86, ran at 133 MHz and was released by AMD in 1995. 150 MHz and 160 MHz parts were planned but never officially released.

Cyrix made a variety of i486-compatible processors, positioned at the cost-sensitive desktop and low-power (laptop) markets. Unlike AMD's 486 clones, the Cyrix processors were the result of clean-room reverse engineering. Cyrix's early offerings included the 486DLC and 486SLC, two hybrid chips that plugged into 386DX or SX sockets respectively, and offered 1 KB of cache (versus 8 KB for the then-current Intel/AMD parts). Cyrix also made "real" 486 processors, which plugged into the i486's socket and offered 2 or 8 KB of cache. Clock-for-clock, the Cyrix-made chips were generally slower than their Intel/AMD equivalents, though later products with 8 KB caches were more competitive, albeit late to market.

The Motorola 68040, while not i486 compatible, was often positioned as its equivalent in features and performance. Clock-for-clock basis the Motorola 68040 could significantly outperform the Intel chip. [16] [17] However, the i486 had the ability to be clocked significantly faster without overheating. Motorola 68040 performance lagged behind the later production i486 systems.[ citation needed ]

Motherboards and buses

The first 486 system from the UK on the cover of BYTE, September 1989 Byte magazine September 1989 cover.png
The first 486 system from the UK on the cover of BYTE, September 1989

Early i486-based computers were equipped with several ISA slots (using an emulated PC/AT-bus) and sometimes one or two 8-bit-only slots (compatible with the PC/XT-bus). [lower-alpha 5] Many motherboards enabled overclocking of these from the default 6 or 8 MHz to perhaps 16.7 or 20 MHz (half the i486 bus clock) in several steps, often from within the BIOS setup. Especially older peripheral cards normally worked well at such speeds as they often used standard MSI chips instead of slower (at the time) custom VLSI designs. This could give significant performance gains (such as for old video cards moved from a 386 or 286 computer, for example). However, operation beyond 8 or 10 MHz could sometimes lead to stability problems, at least in systems equipped with SCSI or sound cards.

Some motherboards came equipped with a 32-bit EISA bus that was backward compatible with the ISA-standard. EISA offered attractive features such as increased bandwidth, extended addressing, IRQ sharing, and card configuration through software (rather than through jumpers, DIP switches, etc.) However, EISA cards were expensive and therefore mostly employed in servers and workstations. Consumer desktops often used the simpler, faster VESA Local Bus (VLB). Unfortunately prone to electrical and timing-based instability; typical consumer desktops had ISA slots combined with a single VLB slot for a video card. VLB was gradually replaced by PCI during the final years of the i486 period. Few Pentium class motherboards had VLB support as VLB was based directly on the i486 bus; much different from the P5 Pentium-bus. ISA persisted through the P5 Pentium generation and was not completely displaced by PCI until the Pentium III era, although ISA persisted well into the Pentium 4 era, especially among industrial PCs.

Late i486 boards were normally equipped with both PCI and ISA slots, and sometimes a single VLB slot. In this configuration, VLB or PCI throughput suffered depending on how buses were bridged. Initially, the VLB slot in these systems was usually fully compatible only with video cards (fitting as "VESA" stands for Video Electronics Standards Association ); VLB-IDE, multi I/O, or SCSI cards could have problems on motherboards with PCI slots. The VL-Bus operated at the same clock speed as the i486-bus (basically a local bus) while the PCI bus also usually depended on the i486 clock but sometimes had a divider setting available via the BIOS. This could be set to 1/1 or 1/2, sometimes even 2/3 (for 50 MHz CPU clocks). Some motherboards limited the PCI clock to the specified maximum of 33 MHz and certain network cards depended on this frequency for correct bit-rates. The ISA clock was typically generated by a divider of the CPU/VLB/PCI clock.

One of the earliest complete systems to use the i486 chip was the Apricot VX FT, produced by British hardware manufacturer Apricot Computers. [18] Even overseas in the United States it was popularized as "The World's First 486".

Later i486 boards supported Plug-And-Play, a specification designed by Microsoft that began as a part of Windows 95 to make component installation easier for consumers.

Obsolescence

The AMD Am5x86 and Cyrix Cx5x86 were the last i486 processors often used in late-generation i486 motherboards. They came with PCI slots and 72-pin SIMMs that were designed to run Windows 95, and also used for 80486 motherboards upgrades. While the Cyrix Cx5x86 faded when the Cyrix 6x86 took over, the AMD Am5x86 remained important given AMD K5 delays.

Computers based on the i486 remained popular through the late 1990s, serving as low-end processors for entry-level PCs. Production for traditional desktop and laptop systems ceased in 1998, when Intel introduced the Celeron brand, though it continued to be produced for embedded systems through the late 2000s.

In the general-purpose desktop computer role, i486-based machines remained in use into the early 2000s, especially as Windows 95 through 98 and Windows NT 4.0 were the last Microsoft operating systems to officially support i486-based systems. [19] [20] Windows 2000 could run on a i486-based machine, although with a less than optimal performance, due to the minimum hardware requirement of a Pentium processor. [21] However, as they were overtaken by newer operating systems, i486 systems fell out of use except for backward compatibility with older programs (most notably games), especially given problems running on newer operating systems. However, DOSBox was available for later operating systems and provides emulation of the i486 instruction set, as well as full compatibility with most DOS-based programs. [22]

The i486 was eventually overtaken by the Pentium for personal computer applications, although Intel continued production for use in embedded systems. In May 2006, Intel announced that production of the i486 would stop at the end of September 2007. [23]

See also

Notes

  1. AMD versions up to 120 and 160 MHz
  2. 1 2 The 386, 286, and even the 8086 all had overlapping fetch, decode, execution (calculation), and write back; however, tightly pipelined usually means that all stages perform their respective duties within the same length time slot. In contrast loosely pipelined implies that some kind of buffering is used to decouple the units and allow them to work more independently. Both the original 8086 and the x86-chips of today are "loosely pipelined" in this sense, while the i486 and the original Pentium worked in a "tightly pipelined" manner for typical instructions. This included most "CISC" type instructions as well as the simple load/store-free "RISC-like" ones, although the most complex also used some dedicated microcode control.
  3. Simple instructions spend only a single clock cycle at each pipeline stage. [lower-alpha 2]
  4. The pre-DX2 i486 parts did not use a clock multiplier and are therefore comparable to a twice-higher clocked 386/286.
  5. In general, 8-bit ISA slots in these systems were implemented just by leaving off the shorter "C"/"D" connector of the slot, though the copper traces for a 16-bit slot were still there on the motherboard; the computer could tell no difference between an 8-bit ISA adapter in such a slot and the same adapter in a 16-bit slot, and there were still enough 8-bit adapters in circulation that vendors figured they could save money on a few connectors this way. Also, leaving off the 16-bit extension to the ISA connector allowed use of some early 8-bit ISA cards that otherwise could not be used due to the PCB "skirt" hanging down into that 16-bit extension space. IBM was the first to do this in the IBM AT.

Further reading

Related Research Articles

<span class="mw-page-title-main">Cyrix 6x86</span> Microprocessor

The Cyrix 6x86 is a line of sixth-generation, 32-bit x86 microprocessors designed and released by Cyrix in 1995. Cyrix, being a fabless company, had the chips manufactured by IBM and SGS-Thomson. The 6x86 was made as a direct competitor to Intel's Pentium microprocessor line, and was pin compatible. During the 6x86's development, the majority of applications performed almost entirely integer operations. The designers foresaw that future applications would most likely maintain this instruction focus. So, to optimize the chip's performance for what they believed to be the most likely application of the CPU, the integer execution resources received most of the transistor budget. This would later prove to be a strategic mistake, as the popularity of the P5 Pentium caused many software developers to hand-optimize code in assembly language, to take advantage of the P5 Pentium's tightly pipelined and lower latency FPU. For example, the highly anticipated first-person shooter Quake used highly optimized assembly code designed almost entirely around the P5 Pentium's FPU. As a result, the P5 Pentium significantly outperformed other CPUs in the game.

i386 32-bit microprocessor by Intel

The Intel 386, originally released as 80386 and later renamed i386, is a 32-bit microprocessor designed by Intel. The first pre-production samples of the 386 were released to select developers in 1985, while mass production commenced in 1986. The processor was a significant evolution in the x86 architecture, extending a long line of processors that stretched back to the Intel 8008. The 386 was the central processing unit (CPU) of many workstations and high-end personal computers of the time. The 386 began to fall out of public use starting with the release of the i486 processor in 1989, while in embedded systems the 386 remained in widespread use until Intel finally discontinued it in 2007.

<span class="mw-page-title-main">Pentium (original)</span> Intel microprocessor

The Pentium is a x86 microprocessor introduced by Intel on March 22, 1993. It is the first CPU using the Pentium brand. Considered the fifth generation in the 8086 compatible line of processors, its implementation and microarchitecture was internally called P5.

<span class="mw-page-title-main">VESA Local Bus</span> Expansion bus for 486 PCs

The VESA Local Bus is a short-lived expansion bus introduced during the i486 generation of x86 IBM-compatible personal computers. Created by VESA, the VESA Local Bus worked alongside the then-dominant ISA bus to provide a standardized high-speed conduit intended primarily to accelerate video (graphics) operations. VLB provides a standardized fast path that add-in (video) card makers could tap for greatly accelerated memory-mapped I/O and DMA, while still using the familiar ISA bus to handle basic device duties such as interrupts and port-mapped I/O. Some high-end 386DX motherboards also had a VL-Bus slot.

<span class="mw-page-title-main">Intel DX2</span> Clock-doubled i486

The Inteli486DX2, rumored as 80486DX2, is a CPU produced by Intel that was first introduced in 1992. The i486DX2 was nearly identical to the i486DX, but it had additional clock multiplier circuitry. It was the first chip to use clock doubling, whereby the processor runs two internal logic clock cycles per external bus cycle. An i486 DX2 was thus significantly faster than an i486 DX at the same bus speed thanks to the 8K on-chip cache shadowing the slower clocked external bus.

<span class="mw-page-title-main">Cyrix</span> American microprocessor developer

Cyrix Corporation was a microprocessor developer that was founded in 1988 in Richardson, Texas, as a specialist supplier of floating point units for 286 and 386 microprocessors. The company was founded by Tom Brightman and Jerry Rogers.

<span class="mw-page-title-main">Pentium Pro</span> Sixth-generation x86 microprocessor by Intel

The Pentium Pro is a sixth-generation x86 microprocessor developed and manufactured by Intel and introduced on November 1, 1995. It introduced the P6 microarchitecture and was originally intended to replace the original Pentium in a full range of applications. Later, it was reduced to a more narrow role as a server and high-end desktop processor. The Pentium Pro was also used in supercomputers, most notably ASCI Red, which used two Pentium Pro CPUs on each computing nodes and was the first computer to reach over one teraFLOPS in 1996, holding the number one spot in the TOP500 list from 1997 to 2000.

<span class="mw-page-title-main">Cyrix 5x86</span> 1995 line of x86-compatible microprocessors

The Cyrix 5x86 was a line of x86 microprocessors designed by Cyrix and released on June 5 of 1995. Cyrix, being a fabless company, had the chips manufactured by IBM. The line came out about 5 months before the more famous Cyrix 6x86. The Cyrix 5x86 was one of the fastest CPUs ever produced for Socket 3 computer systems. With better performance in most applications than an Intel Pentium processor at 75 MHz, the Cyrix Cx5x86 filled a gap by providing a medium-performance processor option for 486 Socket 3 motherboards.

<span class="mw-page-title-main">Am5x86</span> 486 computer chip made by AMD

The Am5x86 processor is an x86-compatible CPU announced in November of 1995 by AMD for use in 486-class computer systems. It began shipping in December of 1995, with a base price of $93 per unit in bulk quantities. Before being released, it was in development under the codename "X5".

The Pentium OverDrive was a microprocessor marketing brand name used by Intel, to cover a variety of consumer upgrade products sold in the mid-1990s. It was originally released for 486 motherboards, and later some Pentium sockets. Intel dropped the brand, as it failed to appeal to corporate buyers, and discouraged new system sales.

<span class="mw-page-title-main">Am486</span> 80486-class computer processor family by AMD

The Am486 is a 80486-class family of computer processors that was produced by AMD in the 1990s. Intel beat AMD to market by nearly four years, but AMD priced its 40 MHz 486 at or below Intel's price for a 33 MHz chip, offering about 20% better performance for the same price.

<span class="mw-page-title-main">Am386</span> AMD microprocessor clone

The Am386 CPU is a 100%-compatible clone of the Intel 80386 design released by AMD in March 1991. It sold millions of units, positioning AMD as a legitimate competitor to Intel, rather than being merely a second source for x86 CPUs.

<span class="mw-page-title-main">Intel i960</span> RISC-based microprocessor design

Intel's i960 was a RISC-based microprocessor design that became popular during the early 1990s as an embedded microcontroller. It became a best-selling CPU in that segment, along with the competing AMD 29000. In spite of its success, Intel stopped marketing the i960 in the late 1990s, as a result of a settlement with DEC whereby Intel received the rights to produce the StrongARM CPU. The processor continues to be used for a few military applications.

NexGen, Inc. was a private semiconductor company based in Milpitas, California, that designed x86 microprocessors until it was purchased by AMD in 1996. NexGen was a fabless design house that designed its chips but relied on other companies for production. NexGen's chips were produced by IBM's Microelectronics division in Burlington, Vermont alongside PowerPC and DRAM parts.

<span class="mw-page-title-main">Cyrix Cx486DLC</span>

The Cyrix Cx486DLC is an x86 desktop microprocessor developed by Cyrix. It was Cyrix's second CPU offering, released years after selling math coprocessors that competed with Intel's units and offered better performance at a comparable or lower price. It was released in June of 1992, with a price of $119 for computer manufacturers.

<span class="mw-page-title-main">Geode (processor)</span> Series of x86-compatible processor

Geode was a series of x86-compatible system-on-a-chip (SoC) microprocessors and I/O companions produced by AMD, targeted at the embedded computing market.

x87 is a floating-point-related subset of the x86 architecture instruction set. It originated as an extension of the 8086 instruction set in the form of optional floating-point coprocessors that work in tandem with corresponding x86 CPUs. These microchips have names ending in "87". This is also known as the NPX. Like other extensions to the basic instruction set, x87 instructions are not strictly needed to construct working programs, but provide hardware and microcode implementations of common numerical tasks, allowing these tasks to be performed much faster than corresponding machine code routines can. The x87 instruction set includes instructions for basic floating-point operations such as addition, subtraction and comparison, but also for more complex numerical operations, such as the computation of the tangent function and its inverse, for example.

<span class="mw-page-title-main">MediaGX</span> Series of x86-compatible processor

The MediaGX CPU is an x86-compatible processor that was designed by Cyrix and manufactured by National Semiconductor following the two companies' merger. It was introduced in 1997. The core is based on the integration of the Cyrix Cx5x86 CPU core with hardware to process video and audio output. Following the buyout of Cyrix by National Semiconductor and the sale of the Cyrix name and trademarks to VIA Technologies, the core was developed by National Semiconductor into the Geode line of processors, which was subsequently sold to Advanced Micro Devices.

Apollo VP3 is a x86 based Socket 7 chipset which was manufactured by VIA Technologies and was launched in 1997. On its time Apollo VP3 was a high performance, cost effective, and energy efficient chipset. It offered AGP support for Socket 7 processors which was not supported at that moment by Intel, SiS and ALi chipsets. In November 1997 FIC released motherboard PA-2012, which uses Apollo VP3 and has AGP bus. This was the first Socket 7 motherboard supporting AGP.

<span class="mw-page-title-main">Cyrix Cx486</span> 486-compatible microprocessor by Cyrix

The Cyrix Cx486 was an x86 microprocessor designed by Cyrix. It primarily competed with the Intel 486 with which it was software compatible, would operate in the same motherboards provided proper support by the BIOS was available and generally showed comparable performance. The chip also competed with parts from AMD and UMC.

References

  1. 1 2 3 4 Lewnes, Ann, "The Intel386 Architecture Here to Stay", Intel Corporation, Microcomputer Solutions, July/August 1989, page 2
  2. "Product Change Notification" (PDF). May 2, 2006. Archived from the original (PDF) on October 9, 2006.
  3. 1 2 3 Intel (July 1997). Embedded Intel486 Processor Hardware Reference Manual (273025-001).
  4. Chen, Allan, "The 50-MHz Intel486 Microprocessor", Intel Corporation, Microcomputer Solutions, September/October 1991, page 2
  5. "Product Change Notification" (PDF). May 2, 2006. Archived from the original (PDF) on October 9, 2006.
  6. 1 2 Chen, Allan, "Designing A Mainframe on a Chip: Interview with the i486 Microprocessor Design Team", Intel Corporation, Microcomputer Solutions, July/August 1989, page 12
  7. 486 32-bit CPU breaks new ground in chip density and operating performance. (Intel Corp.) (product announcement) EDN | May 11, 1989 | Pryce, Dave
  8. Lewis, Peter H. (October 22, 1989). "THE EXECUTIVE COMPUTER; The Race to Market a 486 Machine". The New York Times. Retrieved May 5, 2010.
  9. Chen, Allan, "The 50-MHz Intel486 Microprocessor", Intel Corporation, Microcomputer Solutions, September/October 1991, page 2
  10. Intel Corporation, "New Product Focus: Components: Modified Chips Cuts Portable Power Consumption", Microcomputer Solutions, November/December 1991, page 10
  11. 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 Yates, Darren (November 2020). "Four. Eight. Six". APC . No. 486. Future Publishing. pp. 52–55. ISSN   0725-4415.
  12. 1 2 3 4 Lilly, Paul (April 14, 2009). "A Brief History of CPUs: 31 Awesome Years of x86". PC Gamer. Retrieved August 7, 2021.
  13. Chauvet, Berenice D. (July 15, 1996). "School buys outdated computer model". Sun Sentinel. Tribune Publishing. Archived from the original on July 2, 2021.
  14. House, Dave, "Putting the RISC vs. CISC Debate to Rest", Intel Corporation, Microcomputer Solutions, November/December 1991, page 18
  15. "AMD-Intel Litigation History". yannalaw.com.
  16. "CISC: The Intel 80486 vs. The Motorola MC68040". July 1992. Retrieved May 20, 2013.
  17. 68040 Microprocessor Archived February 16, 2012, at the Wayback Machine
  18. Lavin, Paul; Nadeau, Michael E. (September 1989). "The 486s Are Here". Byte. pp. 95–98. Retrieved April 30, 2022.
  19. "Minimum Hardware Requirements for a Windows 98 Installation". January 24, 2001. Archived from the original on December 5, 2004.
  20. "Windows NT 4.0 Workstation" (in German). WinHistory.de.
  21. "WORLD RECORD*: Windows 2000 running on Intel i486 SX 25 MHz". YouTube . July 29, 2013.
  22. "System Requirements". DOSBox.com.
  23. Tony Smith (May 18, 2006). "Intel cashes in ancient chips. i386, i486, i960 finally for the chop". HARDWARE. Archived from the original on August 13, 2011. Retrieved May 20, 2012.