Microprocessor chronology

Last updated
Progress of miniaturisation, and comparison of sizes of semiconductor manufacturing process nodes with some microscopic objects and visible light wavelengths Comparison semiconductor process nodes.svg
Progress of miniaturisation, and comparison of sizes of semiconductor manufacturing process nodes with some microscopic objects and visible light wavelengths

1970s

The first microprocessors were designed and manufactured in the 1970s. Intel's 4004 of 1971 is widely regarded as the first commercial microprocessor. [1]

Contents

Designers predominantly used MOSFET transistors with pMOS logic in the early 1970s, switching to nMOS logic after the mid-1970s. nMOS had the advantage that it could run on a single voltage, typically +5V, which simplified the power supply requirements and allowed it to be easily interfaced with the wide variety of +5V transistor-transistor logic (TTL) devices. nMOS had the disadvantage that it was more susceptible to electronic noise generated by slight impurities in the underlying silicon material, and it was not until the mid-1970s that these, sodium in particular, were successfully removed to the required levels. At that time, around 1975, nMOS quickly took over the market. [2]

This corresponded with the introduction of new semiconductor masking systems, notably the Micralign system from Perkin-Elmer. Micralign projected an image of the mask onto the silicon wafer, never touching it directly, which eliminated the previous problems when the mask would be lifted off the surface and take away some of the photoresist along with it, ruining the chips on that portion of the wafer. [3] By reducing the number of flawed chips, from about 70% to 10%, the cost of complex designs like early microprocessors fell by the same amount. Systems based on contact aligners cost on the order of $300 in single-unit quantities, the MOS 6502, designed specifically to take advantage of these improvements, cost only $25. [4]

This period also saw considerable experimentation with various word lengths. Early on, 4-bit processors were common, like the Intel 4004, simply because making a wider word length could not be accomplished cost-effectively in the room available on the small wafers of the era, especially when the majority would be defective. As yields improved, wafer sizes grew, and feature size continued to be reduced, more complex 8-bit designs emerged like the Intel 8080 and 6502. 16-bit processors emerged early but were expensive; by the decade's end, low-cost 16-bit designs like the Zilog Z8000 were becoming common. Some unusual word lengths were also produced, including 12-bit and 20-bit, often matching a design that had previously been implemented in a multi-chip format in a minicomputer. These had largely disappeared by the end of the decade as minicomputers moved to 32-bit formats.

DateNameDeveloper Max clock
(first version)
Word size
(bits)
Process Chips [5] Transistors MOSFET Ref
1968 AL1 Four-Phase Systems1 MHz 8 10 μm 14,000MOS [6]
1970TMS 1802NCTexas Instruments ? 8  ?1 ? pMOS
1971 4004 Intel 740 kHz 4 10 μm 12,250 pMOS [5]
1972PPS-25 Fairchild 400 kHz4 2pMOS [7] [lower-alpha 1]
1972μPD700 NEC  4 1 [8]
1972 8008 Intel 500 kHz 8 10 μm13,500pMOS
1972PPS-4 Rockwell 200 kHz4 1pMOS [9] [10]
1973 IMP-16 National 715 kHz16 5pMOS [11] [5] [12]
1973 μCOM-4 NEC 2 MHz47.5 μm 12,500 NMOS [13] [14] [8] [5]
1973 TLCS-12 Toshiba 1 MHz 12 6 μm 12,800 silicon gates pMOS [15] [16] [5]
1973Mini-D Burroughs 1 MHz8 1pMOS [17]
1974IMP-8 National 715 kHz8 3pMOS [15]
1974 8080 Intel 2 MHz86 μm16,000NMOS
1974 μCOM-8 NEC 2 MHz8 1NMOS [8] [5]
1974 5065 Mostek 1.4 MHz8 1pMOS [18]
1974 μCOM-16 NEC 2 MHz 16  2NMOS [8] [5]
1974IMP-4 National 500 kHz4 3pMOS [15]
1974 4040 Intel 740 kHz410 μm13,000pMOS
1974 6800 Motorola 1 MHz8-14,100NMOS [15]
1974 TMS 1000 Texas Instruments 400 kHz48 μm18,000pMOS,nMOS,cMOS
1974 PACE National 1.33 MHz16 1pMOS [19] [20]
1974 ISP-8A/500 (SC/MP) National 1 MHz8 1pMOS
1975 6100 Intersil 4 MHz12-14,000 CMOS [21] [22]
1975TLCS-12A Toshiba 1.2 MHz12-1pMOS [5]
1975 2650 Signetics 1.2 MHz8 1NMOS [15]
1975PPS-8 Rockwell 256 kHz8 1pMOS [15]
1975 F-8 Fairchild 2 MHz8 1NMOS [15]
1975 CDP 1801 RCA 2 MHz85 μm25,000CMOS [23] [24]
1975 6502 MOS Technology 1 MHz8-13,510NMOS (dynamic)
1975PFL-16A (MN 1610) Panafacom 2 MHz16-1NMOS [5]
1975BPC Hewlett Packard 10 MHz16-16,000 (+ ROM)NMOS [25] [26]
1975 MCP-1600 Western Digital 3.3 MHz16-3NMOS [27]
1975 CP1600 General Instrument 3.3 MHz16 1NMOS [19] [28] [29] [5]
1976 CDP 1802 RCA 6.4 MHz8 1CMOS [30] [31]
1976 Z-80 Zilog 2.5 MHz84 μm18,500NMOS
1976 TMS9900 Texas Instruments 3.3 MHz16-18,000nMOS
1976 8x300 Signetics 8 MHz8 1 Bipolar [32] [33]
1976 WD16 Western Digital 3.3 MHz165NMOS [34] [27]
1977 Bellmac-8 (WE212) Bell Labs 2.0 MHz85 μm17,000CMOS
1977 8085 Intel 3.0 MHz8 3 μm 16,500nMOS
1977 MC14500B Motorola 1.0 MHz 1 1CMOS
1978 6809 Motorola 1 MHz85 μm19,000NMOS
1978 8086 Intel 5 MHz163 μm129,000nMOS
1978 6801 Motorola -85 μm135,000nMOS
1979 Z8000 Zilog -16-117,500nMOS
1979 8088 Intel 5 MHz8/16 [lower-alpha 2] 3 μm129,000NMOS (HMOS)
1979 68000 Motorola 8 MHz16/32 [lower-alpha 3] 3.5 μm168,000NMOS (HMOS) [35]
  1. According to Ogdin 1975, the Fairchild PPS-25 was first delivered in 2Q 1971 and the Intel 4004 in 4Q 1971.
  2. The Intel 8088 had an 8-bit external data bus, but internally used a 16-bit architecture.
  3. The Motorola 68000 had a 16-bit external data bus, but internally used 32-bit registers.

1980s

As Moore's Law continued to drive the industry towards more complex chip designs, the expected widespread move from 8-bit designs of the 1970s to 16-bit designs almost didn't occur; instead, new 32-bit designs like the Motorola 68000 and National Semiconductor NS32000 emerged that offered far more performance. The only widespread use of 16-bit systems was in the IBM PC, which had selected the Intel 8088 in 1979 before the new designs had matured.

Another change was the move to CMOS gates as the primary method of building complex CPUs. CMOS had been available since the early 1970s; RCA introduced the COSMAC processor using CMOS in 1975. [36] Whereas earlier systems used a single transistor as the basis for each "gate", CMOS used a two-sided design, essentially making it twice as expensive to build. Its advantage was that its logic was not based on the voltage of a transistor compared to the silicon substrate, but the difference in voltages between the two sides, which was detectable at much lower power levels.[ citation needed ] As processor complexity continued to grow, power dissipation had become a significant concern and chips were prone to overheating; CMOS greatly reduced this problem and quickly took over the market. [37] This was aided by the uptake of CMOS by Japanese firms while US firms remained on nMOS, giving the Japanese industry a major advance during the 1980s. [38]

Semiconductor fabrication techniques continued to improve throughout. The Micralign, which had "created the modern IC industry", was obsolete by the early 1980s. They were replaced by the new steppers, which used high magnifications and extremely powerful light sources to allow a large mask to be copied onto the wafer at ever-smaller sizes. This technology allowed the industry to break below the former 1 micron limit.

Key home computers in the early part of the decade predominantly use processors developed in the 1970s. Versions of the 6502, first released in 1975, powered the Commodore 64, Apple II, BBC Micro, and Atari 8-bit family. The 8-bit Zilog Z80 (1976) is at the core of the ZX Spectrum, MSX systems and many others. The 8086-based IBM PC, launched in 1981, started the move to 16-bit, but was soon passed by the 68000-based 16/32-bit Macintosh, then the Atari ST and Amiga. IBM PC compatibles moved to 32-bit with the introduction of the Intel 80386 in late 1985, although 386-based systems were considerably expensive at the time.

In addition to ever-growing word lengths, microprocessors began to add additional functional units that had previously been optional external parts. By the middle of the decade, memory management units (MMUs) were becoming commonplace, first appearing on designs like the Intel 80286 and Motorola 68030. By the end of the decade, floating point units (FPUs) were being added, first appearing on 1989s Intel 486 and followed the next year by the Motorola 68040.

Another change that began during the 1980s involved overall design philosophy with the emergence of the reduced instruction set computer, or RISC. Although the concept was first developed by IBM in the 1970s, the company did not introduce powerful systems based on it, largely for fear of cannibalizing their sales of larger mainframe systems. Market introduction was driven by smaller companies like MIPS Technologies, SPARC and ARM. These companies did not have access to high-end fabrication like Intel and Motorola, but were able to introduce chips that were highly competitive with those companies with a fraction of the complexity. By the end of the decade, every major vendor was introducing a RISC design of their own, like the IBM POWER, Intel i860 and Motorola 88000.

DateNameDeveloperMax Clock
(first version)
Word size
(bits)
ProcessTransistors
1980 16032 National Semiconductor -16/32-60,000
1980BELLMAC-32/WE 32000 Bell Labs 32150,000
19816120 Harris Corporation 10 MHz12-20,000 (CMOS) [39]
1981 ROMP IBM 10 MHz 32 2 μm45,000
1981 T-11 DEC 2.5 MHz165 μm17,000 (NMOS)
1982 RISC-I [40] UC Berkeley 1 MHz-5 μm44,420 (NMOS)
1982 FOCUS Hewlett Packard 18 MHz32 1.5 μm 450,000
1982 80186 Intel 6 MHz16-55,000
1982 80188 Intel 8 MHz8/16-55,000
1982 80286 Intel 6 MHz161.5 μm134,000
1983 RISC-II UC Berkeley 3 MHz-3 μm40,760 (NMOS)
1983 MIPS [41] Stanford University 2 MHz323 μm25,000
1983 65816 Western Design Center -16--
1984 68020 Motorola 16 MHz322 μm190,000
1984 NS32032 National Semiconductor -32-70,000
1984 V20 NEC 5 MHz8/16-63,000
1985 80386 Intel 12 MHz321.5 μm275,000
1985 MicroVax II 78032 DEC 5 MHz323.0 μm125,000
1985 R2000 MIPS 8 MHz322 μm115,000
1985 [42] Novix NC4016 Harris Corporation 8 MHz163 μm [43] 16,000 [44]
1986 Z80000 Zilog -32-91,000
1986 SPARC MB86900 Fujitsu [45] [46] [47] 15 MHz32 0.8 μm 800,000
1986 V60 [48] NEC 16 MHz16/321.5 μm375,000
1987 80C186 Intel 10 MHz16-56,000 (CMOS)
1987 CVAX 78034 DEC 12.5 MHz322.0 μm134,000
1987 ARM2 Acorn 8 MHz322 μm25,000 [49]
1987 Gmicro/200 [50] Hitachi -- 1 μm 730,000
1987 68030 Motorola 16 MHz321.3 μm273,000
1987 V70 [48] NEC 20 MHz16/321.5 μm385,000
1988 R3000 MIPS 25 MHz321.2 μm120,000
1988 80386SX Intel 12 MHz16/32--
1988 i960 Intel 10 MHz33/321.5 μm250,000
1989 i960CA [51] Intel 1633 MHz33/320.8 μm600,000
1989 VAX DC520 "Rigel" DEC 35 MHz321.5 μm320,000
1989 80486 Intel 25 MHz321 μm1,180,000
1989 i860 Intel 25 MHz321 μm1,000,000

1990s

The 32-bit microprocessor dominated the consumer market in the 1990s. Processor clock speeds increased by more than tenfold between 1990 and 1999, and 64-bit processors began to emerge later in the decade. In the 1990s, microprocessors no longer used the same clock speed for the processor and the RAM. Processors began to have a front-side bus (FSB) clock speed used in communication with RAM and other components. Typically, the processor itself ran at a clock speed that was a multiple of the FSB clock speed. Intel's Pentium III, for example, had an internal clock speed of 450–600 MHz and an FSB speed of 100–133 MHz. Only the processor's internal clock speed is shown here.

DateNameDeveloperClockWord size
(bits)
ProcessTransistors
(millions)
Threads
1990 68040 Motorola 40 MHz32-1.2
1990 POWER1 IBM 20–30 MHz32 1,000 nm 6.9
1991 R4000 MIPS Computer Systems 100 MHz 64 800 nm 1.35
1991 NVAX DEC 62.5–90.91 MHz32750 nm 1.3
1991 RSC IBM 33 MHz32800 nm1.0 [52]
1992 SH-1 Hitachi 20 MHz [53] 32800 nm0.6 [54]
1992 Alpha 21064 DEC 100–200 MHz64750 nm1.68
1992 microSPARC I Sun 40–50 MHz32800 nm0.8
1992 PA-7100 Hewlett Packard 100 MHz32800 nm0.85 [55]
1992 486SLC Cyrix 40 MHz16
1993HARP-1 Hitachi 120 MHz-500 nm2.8 [56]
1993 PowerPC 601 IBM, Motorola 50–80 MHz32 600 nm 2.8
1993 Pentium Intel 60–66 MHz32800 nm3.1
1993 POWER2 IBM 55–71.5 MHz32720 nm23
1994 microSPARC II Fujitsu 60–125 MHz-500 nm2.3
1994 S/390 G1 IBM -32-
1994 68060 Motorola 50 MHz32600 nm2.5
1994 Alpha 21064A DEC 200–300 MHz64500 nm2.85
1994 R4600 QED 100–125 MHz64650 nm2.2
1994 PA-7200 Hewlett Packard 125 MHz32550 nm1.26
1994 PowerPC 603 IBM, Motorola 60–120 MHz32500 nm1.6
1994 PowerPC 604 IBM, Motorola 100–180 MHz32500 nm3.6
1994 PA-7100LC Hewlett Packard 100 MHz32750 nm0.90
1995 Alpha 21164 DEC 266–333 MHz64500 nm9.3
1995 S/390 G2 IBM -32-
1995 UltraSPARC Sun 143–167 MHz64470 nm5.2
1995 SPARC64 HAL Computer Systems 101–118 MHz64400 nm-
1995 Pentium Pro Intel 150–200 MHz32 350 nm 5.5
1996 Alpha 21164A DEC 400–500 MHz64350 nm9.7
1995 S/390 G3 IBM -32-
1996 K5 AMD 75–100 MHz32500 nm4.3
1996 R10000 MTI 150–250 MHz64350 nm6.7
1996 R5000 QED 180–250 MHz-350 nm3.7
1996 SPARC64 II HAL Computer Systems 141–161 MHz64350 nm-
1996 PA-8000 Hewlett-Packard 160–180 MHz64500 nm3.8
1996 POWER2 Super Chip (P2SC) IBM 150 MHz32290 nm15
1997 SH-4 Hitachi 200 MHz-200 nm [57] 10 [58]
1997 RS64 IBM 125 MHz64 ? nm ?
1997 Pentium II Intel 233–300 MHz32350 nm7.5
1997 PowerPC 620 IBM, Motorola 120–150 MHz64350 nm6.9
1997 UltraSPARC IIs Sun 250–400 MHz64350 nm5.4
1997 S/390 G4 IBM 370 MHz32500 nm7.8
1997 PowerPC 750 IBM, Motorola 233–366 MHz32260 nm6.35
1997 K6 AMD 166–233 MHz32350 nm8.8
1998 RS64-II IBM 262 MHz64350 nm12.5
1998 Alpha 21264 DEC 450–600 MHz64350 nm15.2
1998 MIPS R12000 SGI 270–400 MHz64 250180 nm 6.9
1998RM7000 QED 250–300 MHz- 250 nm 18
1998 SPARC64 III HAL Computer Systems 250–330 MHz64240 nm17.6
1998 S/390 G5 IBM 500 MHz32250 nm25
1998 PA-8500 Hewlett Packard 300–440 MHz64250 nm140
1998 POWER3 IBM 200 MHz64250 nm15
1999 S/390 G6 IBM 550-637 MHz32-
1999 Emotion Engine Sony, Toshiba 294–300 MHz-180–65 nm [59] 13.5 [60]
1999 Pentium III Intel 450–600 MHz32250 nm9.5
1999 RS64-III IBM 450 MHz64220 nm342
1999 PowerPC 7400 Motorola 350–500 MHz32200–130 nm 10.5
1999 Athlon AMD 500–1000 MHz32250 nm22

2000s

64-bit processors became mainstream in the 2000s. Microprocessor clock speeds reached a ceiling because of the heat dissipation barrier. Instead of implementing expensive and impractical cooling systems, manufacturers turned to parallel computing in the form of the multi-core processor. Overclocking had its roots in the 1990s, but came into its own in the 2000s. Off-the-shelf cooling systems designed for overclocked processors became common, and the gaming PC had its advent as well. Over the decade, transistor counts increased by about an order of magnitude, a trend continued from previous decades. Process sizes decreased about fourfold, from 180 nm to 45 nm.

DateNameDeveloperClockProcessTransistors
(millions)
Cores per die /
Dies per module
2000 Athlon XP AMD 1.33–1.73 GHz180 nm37.51 / 1
2000 Duron AMD 550 MHz–1.3 GHz180 nm251 / 1
2000 RS64-IV IBM 600–750 MHz180 nm441 / 2
2000 Pentium 4 Intel 1.3–2 GHz180–130 nm421 / 1
2000SPARC64 IV Fujitsu 450–810 MHz130 nm-1 / 1
2000 z900 IBM 918 MHz180 nm471 / 12, 20
2001 MIPS R14000 SGI 500–600 MHz130 nm7.21 / 1
2001 POWER4 IBM 1.1–1.4 GHz180–130 nm1742 / 1, 4
2001 UltraSPARC III Sun 750–1200 MHz130 nm291 / 1
2001 Itanium Intel 733–800 MHz180 nm251 / 1
2001 PowerPC 7450 Motorola 733–800 MHz180–130 nm331 / 1
2002 SPARC64 V Fujitsu 1.1–1.35 GHz130 nm1901 / 1
2002 Itanium 2 Intel 0.9–1 GHz180 nm4101 / 1
2003 PowerPC 970 IBM 1.6–2.0 GHz130–90 nm521 / 1
2003 Pentium M Intel 0.9–1.7 GHz130–90 nm771 / 1
2003 Opteron AMD 1.4–2.4 GHz130 nm1061 / 1
2004 POWER5 IBM 1.65–1.9 GHz130–90 nm2762 / 1, 2, 4
2004 PowerPC BGL IBM 700 MHz130 nm952 / 1
2005 IBM z9 IBM
2005 Opteron "Athens" AMD 1.6–3.0 GHz90 nm1141 / 1
2005 Pentium D Intel 2.8–3.2 GHz90 nm1151 / 2
2005 Athlon 64 X2 AMD 2–2.4 GHz90 nm2432 / 1
2005 PowerPC 970MP IBM 1.2–2.5 GHz90 nm1832 / 1
2005 UltraSPARC IV Sun 1.05–1.35 GHz130 nm662 / 1
2005 UltraSPARC T1 Sun 1–1.4 GHz90 nm3008 / 1
2005 Xenon IBM 3.2 GHz90–45 nm1653 / 1
2006 Core Duo Intel 1.1–2.33 GHz90–65 nm1512 / 1
2006 Core 2 Intel 1.06–2.67 GHz65–45 nm2912 / 1, 2
2006 Cell/B.E. IBM, Sony, Toshiba 3.2–4.6 GHz90–45 nm2411+8 / 1
2006 Itanium "Montecito" Intel 1.4–1.6 GHz90 nm17202 / 1
2007 POWER6 IBM 3.5–4.7 GHz65 nm7902 / 1
2007 SPARC64 VI Fujitsu 2.15–2.4 GHz90 nm5432 / 1
2007 UltraSPARC T2 Sun 1–1.4 GHz65 nm5038 / 1
2007 TILE64 Tilera 600–900 MHz90–45 nm ?64 / 1
2007 Opteron "Barcelona" AMD 1.8–3.2 GHz65 nm4634 / 1
2007 PowerPC BGP IBM 850 MHz90 nm2084 / 1
2008 Phenom AMD 1.8–2.6 GHz65 nm4502, 3, 4 / 1
2008 z10 IBM 4.4 GHz65 nm9934 / 7
2008 PowerXCell 8i IBM 2.8–4.0 GHz65 nm2501+8 / 1
2008 SPARC64 VII Fujitsu 2.4–2.88 GHz65 nm6004 / 1
2008 Atom Intel 0.8–1.6 GHz65–45 nm471 / 1
2008 Core i7 Intel 2.66–3.2 GHz45–32 nm7302, 4, 6 / 1
2008 TILEPro64 Tilera 600–866 MHz90–45 nm ?64 / 1
2008 Opteron "Shanghai" AMD 2.3–2.9 GHz45 nm7514 / 1
2009 Phenom II AMD 2.5–3.2 GHz45 nm7582, 3, 4, 6 / 1
2009 Opteron "Istanbul" AMD 2.2–2.8 GHz45 nm9046 / 1

2010s

A new trend appears, the multi-chip module made of several chiplets. This is multiple monolithic chips in a single package. This allows higher integration with several smaller and easier to manufacture chips.

DateNameDeveloperClockProcessTransistors
(millions)
Cores per die /
Dies per module
Threads
per core
2010 POWER7 IBM 3–4.14 GHz45 nm12004, 6, 8 / 1, 44
2010 Itanium "Tukwila" Intel 2 GHz65 nm20002, 4 / 12
2010 Opteron "Magny-cours" AMD 1.7–2.4 GHz45 nm18104, 6 / 21
2010 Xeon "Nehalem-EX" Intel 1.73–2.66 GHz45 nm23004, 6, 8 / 12
2010 z196 IBM 3.8–5.2 GHz45 nm14004 / 1, 61
2010 SPARC T3 Sun 1.6 GHz45 nm200016 / 18
2010 SPARC64 VII+ Fujitsu 2.66–3.0 GHz45 nm ?4 / 12
2010 Intel "Westmere" Intel 1.86–3.33 GHz32 nm11704–6 / 12
2011 Intel "Sandy Bridge" Intel 1.6–3.4 GHz32 nm995 [61] 2, 4 / 1(1,) 2
2011 AMD Llano AMD 1.0–1.6 GHz40 nm380 [62] 1, 2 / 11
2011 Xeon E7 Intel 1.73–2.67 GHz32 nm26004, 6, 8, 10 / 11–2
2011 Power ISA BGQ IBM 1.6 GHz45 nm147018 / 14
2011 SPARC64 VIIIfx Fujitsu 2.0 GHz45 nm7608 / 12
2011 FX "Bulldozer" Interlagos AMD 3.1–3.6 GHz32 nm1200 [63] 4–8 / 21
2011 SPARC T4 Oracle 2.8–3 GHz40 nm8558 / 18
2012 SPARC64 IXfx Fujitsu 1.848 GHz40 nm187016 / 12
2012 zEC12 IBM 5.5 GHz32 nm27506 / 61
2012 POWER7+ IBM 3.1–5.3 GHz32 nm21008 / 1, 24
2012 Itanium "Poulson" Intel 1.73–2.53 GHz32 nm31008 / 12
2013 Intel "Haswell" Intel 1.9–4.4 GHz22 nm14004 / 12
2013 SPARC64 X Fujitsu 2.8–3 GHz28 nm295016 / 12
2013 SPARC T5 Oracle 3.6 GHz28 nm150016 / 18
2014 POWER8 IBM 2.5–5 GHz22 nm42006, 12 / 1, 28
2014 Intel "Broadwell" Intel 1.8-4 GHz14 nm19002, 4, 6, 8, 12, 16 / 1, 2, 42
2015 z13 IBM 5 GHz22 nm39908 / 12
2015 A8-7670K AMD 3.6 GHz28 nm24104 / 11
2016 RISC-V E31 [64] SiFive 320 MHz28 nm ?11
2017 Zen AMD 3.2–4.1 GHz14 nm48008, 16, 32 / 1, 2, 42
2017 z14 IBM 5.2 GHz14 nm610010 / 12
2017 POWER9 IBM 4 GHz14 nm800012, 24 / 14, 8
2017SPARC M8 [65] Oracle 5 GHz20 nm~10,000 [66] 328
2017 RISC-V U54-MC [67] SiFive 1.5 GHz28 nm25041
2018 Intel "Cannon Lake" Intel 2.2–3.2 GHz10 nm ?2 / 12
2018 Zen+ AMD 2.8–3.7 GHz12 nm48002, 4, 6, 8, 12, 16, 24, 32 / 1, 2, 41, 2
2018 RISC-V U74-MC [68] SiFive 1.5 GHz ? ?41
2019 Zen 2 AMD 2–4.7 GHz7 nm39006, 8, 12, 16, 24, 32, 64 / 1, 2, 42
2019 z15 IBM 5.2 GHz14 nm920012 / 12

2020s

DateNameDeveloperClockProcessTransistors
(millions)
Cores per die /
Dies per module
Threads
per core
2020 Zen 3 AMD 3.4–4.9 GHz7 nm ?6, 8, 12, 16 /2
2020 M1 Apple3.2 GHz5  nm1600081
2021 M1 Max Apple3.2 GHz5 nm57000101
April 2022 IBM Telum IBM>5 GHz7 nm2200081
November 2022 M1 Ultra Apple3.2 GHz__  nm114000201

See also

References and notes

References
  1. "The Story of the Intel 4004". Intel.
  2. "NMOS versus PMOS".
  3. "Perkin Elmer - Micralign Projection Mask Alignment System".
  4. "The MOS 6502 and the Best Layout Guy in the World". swtch.com. 2011-01-03. Retrieved 2014-08-09.
  5. 1 2 3 4 5 6 7 8 9 10 Belzer, Jack; Holzman, Albert G.; Kent, Allen (1978). Encyclopedia of Computer Science and Technology: Volume 10 - Linear and Matrix Algebra to Microorganisms: Computer-Assisted Identification. CRC Press. p. 402. ISBN   9780824722609.
  6. https://www.cpushack.com/2014/08/15/four-phase-systems-al1-processor-8-bits-by-lee-boysel/
  7. Ogdin 1975 , pp. 57–59, 77
  8. 1 2 3 4 "1970s: Development and evolution of microprocessors" (PDF). Semiconductor History Museum of Japan. Archived from the original (PDF) on 2019-06-27. Retrieved 16 September 2020.
  9. Ogdin 1975 , pp. 72, 77
  10. "Rockwell PPS-4". The Antique Chip Collector's Page. Retrieved 2010-06-14.
  11. Ogdin 1975 , pp. 70, 77
  12. "National Semiconductor IMP-16". The Antique Chip Collector's Page. Archived from the original on 2002-02-07. Retrieved 2010-06-14.
  13. Ryoichi Mori; Hiroaki Tajima; Morihiko Tajima; Yoshikuni Okada (October 1977). "Microprocessors in Japan". Euromicro Newsletter. 3 (4): 50–7 (51, Table 2.2). doi:10.1016/0303-1268(77)90111-0.
  14. "NEC 751 (uCOM-4)". The Antique Chip Collector's Page. Archived from the original on 2011-05-25. Retrieved 2010-06-11.
  15. 1 2 3 4 5 6 7 Ogdin 1975 , p. 77
  16. "1973: 12-bit engine-control microprocessor (Toshiba)" (PDF). Semiconductor History Museum of Japan. Archived from the original (PDF) on 2019-06-27. Retrieved 16 September 2020.
  17. Ogdin 1975 , pp. 55, 77
  18. Ogdin 1975 , pp. 65, 77
  19. 1 2 David Russell (February 1978). "Microprocessor survey". Microprocessors. 2 (1): 13–20, See p. 18. doi:10.1016/0308-5953(78)90071-5.
  20. Allen Kent, James G. Williams, ed. (1990). "Evolution of Computerized Maintenance Management to Generation of Random Numbers". Encyclopedia of Microcomputers. Vol. 7. Marcel Dekker. p. 336. ISBN   0-8247-2706-1.
  21. Little, Jeff (2009-03-04). "Intersil Intercept Jr". ClassicCmp. Archived from the original on 2014-10-03. Retrieved 2012-09-16.
  22. "Intersil IM6100 CMOS 12 Bit Microprocessor family databook" (PDF).
  23. "RCA COSMAC 1801". The Antique Chip Collector's Page. Archived from the original on 2013-09-03. Retrieved 2010-06-14.
  24. "CDP 1800 μP Commercially available" (PDF). Microcomputer Digest. 2 (4): 1–3. October 1975. Retrieved 2023-11-13.
  25. "Hybrid Microprocessor" . Retrieved 2008-06-15.
  26. "HP designs Custom 16-bit μC Chip" (PDF). Microcomputer Digest. 2 (4): 8. October 1975. Retrieved 2023-11-13.
  27. 1 2 MCP-1600 Microprocessor Users Manual (PDF). Western Digital. 1975. Retrieved 28 April 2022.
  28. "Microprocessors — The Early Years 1971–1974". The Antique Chip Collector's Page. Archived from the original on 2013-06-04. Retrieved 2010-06-16.
  29. "CP1600 16-Bit Single-Chip Microprocessor" (PDF). data sheet. General Instrument. 1977. Archived from the original (PDF) on 2011-05-26. Retrieved 2010-06-18.
  30. "RCA COSMAC 1802". The Antique Chip Collector's Page. Archived from the original on 2013-01-02. Retrieved 2010-06-14.
  31. "CDP 1802" (PDF). Microcomputer Digest. 2 (10): 1, 4. April 1976. Retrieved 2023-11-13.
  32. Hans Hoffman; John Nemec (April 1977). "A fast microprocessor for control applications". Euromicro Newsletter. 3 (3): 53–59. doi:10.1016/0303-1268(77)90010-4.
  33. "Microprocessors — The Explosion 1975–1976". The Antique Chip Collector's Page. Archived from the original on 2009-09-09. Retrieved 2010-06-18.
  34. "WD16 Microcomputer Programmer's Reference Manual" (PDF). Western Digital. Retrieved 10 December 2021.
  35. "Chip Hall of Fame: Motorola MC68000 Microprocessor". IEEE Spectrum . Institute of Electrical and Electronics Engineers. 30 June 2017. Retrieved 19 June 2019.
  36. Cass, Stephen (2 July 2018). "Chip Hall of Fame: RCA CDP 1802". IEEE Spectrum.
  37. Kuhn, Kelin (2018). "CMOS and Beyond CMOS: Scaling Challenges". High Mobility Materials for CMOS Applications. Woodhead Publishing. p. 1. ISBN   9780081020623.
  38. Gilder, George (1990). Microcosm: The Quantum Revolution In Economics And Technology . Simon and Schuster. pp.  144–5. ISBN   9780671705923.
  39. Harris CMOS Digital Data Book (PDF). pp. 4–3–21.
  40. "Berkeley Hardware Prototypes" . Retrieved 2008-06-15.
  41. Patterson, David A. (1985). "Reduced instruction set computers". Communications of the ACM. 28: 8–21. doi: 10.1145/2465.214917 . S2CID   1493886.
  42. "Forth chips list". UltraTechnology. 2010.
  43. Koopman, Philip J. (1989). "4.4 Architecture of the NOVIX NC4016". Stack Computers: the new wave. E. Horwood. ISBN   0745804187.
  44. Hand, Tom (1994). "The Harris RTX 2000 Microcontroller" (PDF). Journal of Forth Application and Research. 6 (1). ISSN   0738-2022.
  45. "Fujitsu to take ARM into the realm of Super". The CPU Shack Museum. June 21, 2016. Retrieved 30 June 2019.
  46. "Fujitsu SPARC". cpu-collection.de. Retrieved 30 June 2019.
  47. "Timeline". SPARC International . Retrieved 30 June 2019.
  48. 1 2 Kimura S, Komoto Y, Yano Y (1988). "Implementation of the V60/V70 and its FRM function". IEEE Micro. 8 (2): 22–36. doi:10.1109/40.527. S2CID   9507994.
  49. C Green; P Gülzow; L Johnson; K Meinzer; J Miller (Mar–Apr 1999). "The Experimental IHU-2 Aboard P3D". Amsat Journal. 22 (2). The first processor using these principles, called ARM-1, was fabricated by VLSI in April 1985, and gave startling performance for the time, whilst using barely 25,000 transistors
  50. Inayoshi H, Kawasaki I, Nishimukai T, Sakamura K (1988). "Realization of Gmicro/200". IEEE Micro. 8 (2): 12–21. doi:10.1109/40.526. S2CID   36938046.
  51. "Intel i960 Embedded Microprocessor". National High Magnetic Field Laboratory . Florida State University. 3 March 2003. Archived from the original on 3 March 2003. Retrieved 29 June 2019.
  52. Moore CR, Balser DM, Muhich JS, East RE (1992). "IBM Single Chip RISC Processor (RSC)" (PDF). Proceedings of the 1991 IEEE International Conference on Computer Design on VLSI in Computer & Processors. IEEE Computer Society. pp. 200–4. ISBN   0-8186-3110-4. Archived from the original (PDF) on 2013-10-04. Retrieved 2008-11-15.
  53. "Embedded-DSP SuperH Family and Its Applications" (PDF). Hitachi Review. Hitachi. 47 (4): 121–7. 1998. S2CID   43356065. Archived from the original (PDF) on 2019-02-25. Retrieved 5 July 2019.
  54. "SH Microprocessor Leading the Nomadic Era" (PDF). Semiconductor History Museum of Japan. Retrieved 27 June 2019.
  55. "PA-RISC Processors" . Retrieved 2008-05-11.
  56. "HARP-1: A 120 MHz Superscalar PA-RISC Processor" (PDF). Hitachi. Archived from the original (PDF) on 23 April 2016. Retrieved 19 June 2019.
  57. "Entertainment Systems and High-Performance Processor SH-4" (PDF). Hitachi Review. Hitachi. 48 (2): 58–63. 1999. S2CID   44852046. Archived from the original (PDF) on 2019-02-21. Retrieved 27 June 2019.
  58. "Remembering the Sega Dreamcast". Bit-Tech . September 29, 2009. Retrieved 18 June 2019.
  59. "EMOTION ENGINE® AND GRAPHICS SYNTHESIZER USED IN THE CORE OF PLAYSTATION® BECOME ONE CHIP" (PDF). Sony. April 21, 2003. Retrieved 26 June 2019.
  60. Hennessy, John L.; Patterson, David A. (29 May 2002). Computer Architecture: A Quantitative Approach (3 ed.). Morgan Kaufmann. p. 491. ISBN   978-0-08-050252-6 . Retrieved 9 April 2013.
  61. Anand Lal Shimpi (10 January 2011). "A Closer Look at the Sandy Bridge Die". AnandTech.
  62. renethx (10 November 2011). "Cedar (HD 5450) and Zacate (E350) are manufactured in TSMC 40 nm process". AMD Zacate — the next great HTPC chip?.{{cite book}}: |work= ignored (help)
  63. "AMD Revises Bulldozer Transistor Count: 1.2B, not 2B". AnandTech. 2 December 2011.
  64. "SiFive - HiFive1". Archived from the original on 2016-11-30.
  65. "Sparc M8 processor" (PDF). Oracle main website. Oracle Corp. Retrieved 3 March 2019.
  66. "Is M8 the Last Hurrah for Oracle Sparc?". 18 September 2017.
  67. "SiFive - HiFive1". Archived from the original on 2017-10-18.
  68. "SiFive Introduces 7 Series RISC-V Cores".
Notes

Related Research Articles

Processor design is a subfield of computer science and computer engineering (fabrication) that deals with creating a processor, a key component of computer hardware.

<span class="mw-page-title-main">Integrated circuit</span> Electronic circuit formed on a small, flat piece of semiconductor material

An 'integrated circuit, also known as a microchip or IC, is a small electronic device made up of multiple interconnected electronic components such as transistors, resistors, and capacitors. These components are etched onto a tiny piece of semiconductor material, usually silicon. Integrated circuits are used in a wide range of electronic devices, including computers, smartphones, and televisions, to perform various functions such as processing and storing information. They have greatly impacted the field of electronics by enabling device miniaturization and enhanced functionality.

<span class="mw-page-title-main">Microprocessor</span> Computer processor contained on an integrated-circuit chip

A microprocessor is a computer processor for which the data processing logic and control is included on a single integrated circuit (IC), or a small number of ICs. The microprocessor contains the arithmetic, logic, and control circuitry required to perform the functions of a computer's central processing unit (CPU). The IC is capable of interpreting and executing program instructions and performing arithmetic operations. The microprocessor is a multipurpose, clock-driven, register-based, digital integrated circuit that accepts binary data as input, processes it according to instructions stored in its memory, and provides results as output. Microprocessors contain both combinational logic and sequential digital logic, and operate on numbers and symbols represented in the binary number system.

<span class="mw-page-title-main">MOS Technology 6502</span> 8-bit microprocessor

The MOS Technology 6502 is an 8-bit microprocessor that was designed by a small team led by Chuck Peddle for MOS Technology. The design team had formerly worked at Motorola on the Motorola 6800 project; the 6502 is essentially a simplified, less expensive and faster version of that design.

<span class="mw-page-title-main">Motorola 6800</span> 8-bit microprocessor

The 6800 is an 8-bit microprocessor designed and first manufactured by Motorola in 1974. The MC6800 microprocessor was part of the M6800 Microcomputer System that also included serial and parallel interface ICs, RAM, ROM and other support chips. A significant design feature was that the M6800 family of ICs required only a single five-volt power supply at a time when most other microprocessors required three voltages. The M6800 Microcomputer System was announced in March 1974 and was in full production by the end of that year.

<span class="mw-page-title-main">Motorola 68020</span> 32-bit microprocessor

The Motorola 68020 is a 32-bit microprocessor from Motorola, released in 1984. A lower-cost version was also made available, known as the 68EC020. In keeping with naming practices common to Motorola designs, the 68020 is usually referred to as the "020", pronounced "oh-two-oh" or "oh-twenty".

<span class="mw-page-title-main">Pentium (original)</span> Intel microprocessor

The Pentium is a x86 microprocessor introduced by Intel on March 22, 1993. It is the first CPU using the Pentium brand. Considered the fifth generation in the 8086 compatible line of processors, its implementation and microarchitecture was internally called P5.

<span class="mw-page-title-main">StrongARM</span> Family of computer microprocessors

The StrongARM is a family of computer microprocessors developed by Digital Equipment Corporation and manufactured in the late 1990s which implemented the ARM v4 instruction set architecture. It was later acquired by Intel in 1997 from DEC's own Digital Semiconductor division as part of a settlement of a lawsuit between the two companies over patent infringement. Intel then continued to manufacture it before replacing it with the StrongARM-derived ARM-based follow-up architecture called XScale in the early 2000s.

<span class="mw-page-title-main">CMOS</span> Technology for constructing integrated circuits

Complementary metal–oxide–semiconductor is a type of metal–oxide–semiconductor field-effect transistor (MOSFET) fabrication process that uses complementary and symmetrical pairs of p-type and n-type MOSFETs for logic functions. CMOS technology is used for constructing integrated circuit (IC) chips, including microprocessors, microcontrollers, memory chips, and other digital logic circuits. CMOS technology is also used for analog circuits such as image sensors, data converters, RF circuits, and highly integrated transceivers for many types of communication.

The 88000 is a RISC instruction set architecture developed by Motorola during the 1980s. The MC88100 arrived on the market in 1988, some two years after the competing SPARC and MIPS. Due to the late start and extensive delays releasing the second-generation MC88110, the m88k achieved very limited success outside of the MVME platform and embedded controller environments. When Motorola joined the AIM alliance in 1991 to develop the PowerPC, further development of the 88000 ended.

<span class="mw-page-title-main">Mostek</span> American electronics company

Mostek Corporation was a semiconductor integrated circuit manufacturer, founded in 1969 by L. J. Sevin, Louay E. Sharif, Richard L. Petritz and other ex-employees of Texas Instruments. At its peak in the late 1970s, Mostek held an 85% market share of the dynamic random-access memory (DRAM) memory chip market worldwide, until being eclipsed by lower-priced Japanese DRAM manufacturers who were accused of dumping memory on the market.

<span class="mw-page-title-main">Depletion-load NMOS logic</span> Form of digital logic family in integrated circuits

In integrated circuits, depletion-load NMOS is a form of digital logic family that uses only a single power supply voltage, unlike earlier NMOS logic families that needed more than one different power supply voltage. Although manufacturing these integrated circuits required additional processing steps, improved switching speed and the elimination of the extra power supply made this logic family the preferred choice for many microprocessors and other logic elements.

The transistor count is the number of transistors in an electronic device. It is the most common measure of integrated circuit complexity. The rate at which MOS transistor counts have increased generally follows Moore's law, which observes that transistor count doubles approximately every two years. However, being directly proportional to the area of a chip, transistor count does not represent how advanced the corresponding manufacturing technology is: a better indication of this is transistor density.

<span class="mw-page-title-main">NEC V60</span> CISC microprocessor

The NEC V60 is a CISC microprocessor manufactured by NEC starting in 1986. Several improved versions were introduced with the same instruction set architecture (ISA), the V70 in 1987, and the V80 and AFPP in 1989. They were succeeded by the V800 product families, which is currently produced by Renesas Electronics.

<span class="mw-page-title-main">History of general-purpose CPUs</span> History of processors used in general purpose computers

The history of general-purpose CPUs is a continuation of the earlier history of computing hardware.

<span class="mw-page-title-main">PMOS logic</span> Family of digital circuits

PMOS or pMOS logic is a family of digital circuits based on p-channel, enhancement mode metal–oxide–semiconductor field-effect transistors (MOSFETs). In the late 1960s and early 1970s, PMOS logic was the dominant semiconductor technology for large-scale integrated circuits before being superseded by NMOS and CMOS devices.

<span class="mw-page-title-main">Random-access memory</span> Form of computer data storage

Random-access memory is a form of electronic computer memory that can be read and changed in any order, typically used to store working data and machine code. A random-access memory device allows data items to be read or written in almost the same amount of time irrespective of the physical location of data inside the memory, in contrast with other direct-access data storage media, where the time required to read and write data items varies significantly depending on their physical locations on the recording medium, due to mechanical limitations such as media rotation speeds and arm movement.

The R2000 is a 32-bit microprocessor chip set developed by MIPS Computer Systems that implemented the MIPS I instruction set architecture (ISA). Introduced in January 1986, it was the first commercial implementation of the MIPS architecture and the first commercial RISC processor available to all companies. The R2000 competed with Digital Equipment Corporation (DEC) VAX minicomputers and with Motorola 68000 and Intel Corporation 80386 microprocessors. R2000 users included Ardent Computer, DEC, Silicon Graphics, Northern Telecom and MIPS's own Unix workstations.

In computer architecture, 16-bit integers, memory addresses, or other data units are those that are 16 bits wide. Also, 16-bit central processing unit (CPU) and arithmetic logic unit (ALU) architectures are those that are based on registers, address buses, or data buses of that size. 16-bit microcomputers are microcomputers that use 16-bit microprocessors.

<span class="mw-page-title-main">Memory cell (computing)</span> Part of computer memory

The memory cell is the fundamental building block of computer memory. The memory cell is an electronic circuit that stores one bit of binary information and it must be set to store a logic 1 and reset to store a logic 0. Its value is maintained/stored until it is changed by the set/reset process. The value in the memory cell can be accessed by reading it.