Semiconductor International

Last updated
Semiconductor International
Semiconductor international cover.gif
Type business magazine
FormatPaper and online magazine
Owner(s) Reed Business Information
Editor Pete Singer
Founded1978
Language English
Ceased publicationApril 30, 2010
Headquarters Des Plaines, Illinois, USA
Circulation 42,500
ISSN 0163-3767
Website Semiconductor International

Semiconductor International ( ISSN   0163-3767) was a trade publication and web site owned by Reed Business Information serving the information needs of manufacturers of semiconductors and integrated circuits. [1]

The editor-in-chief was Pete Singer.

Established in 1978, Semiconductor International was published monthly. Regular news and feature articles covered topics including Wafer Processing, Lithography, Yield Management, Metrology, Semiconductor Packaging and Wafer Cleaning.

Semiconductor International broadcast technology webcasts each month coinciding with the print cover story for that month. In December, they broadcast an additional industry forecast webcast. Other webcasts were added to the lineup as the need arises.

As of December 2006, total BPA audited circulation was 42,500 subscribers. The issue published on April 30, 2010 was the last issue of the magazine. [1]

Related Research Articles

Semiconductor device fabrication Manufacturing process used to create integrated circuits

Semiconductor device fabrication is the process used to manufacture semiconductor devices, typically the metal–oxide–semiconductor (MOS) devices used in the integrated circuit (IC) chips such as modern computer processors, microcontrollers, and memory chips such as NAND flash and DRAM that are present in everyday electrical and electronic devices. It is a multiple-step sequence of photolithographic and chemical processing steps during which electronic circuits are gradually created on a wafer made of pure semiconducting material. Silicon is almost always used, but various compound semiconductors are used for specialized applications.

Wafer (electronics) Thin slice of semiconductor used for the fabrication of integrated circuits

In electronics, a wafer is a thin slice of semiconductor, such as a crystalline silicon (c-Si), used for the fabrication of integrated circuits and, in photovoltaics, to manufacture solar cells. The wafer serves as the substrate for microelectronic devices built in and upon the wafer. It undergoes many microfabrication processes, such as doping, ion implantation, etching, thin-film deposition of various materials, and photolithographic patterning. Finally, the individual microcircuits are separated by wafer dicing and packaged as an integrated circuit.

STMicroelectronics Semiconductor device manufacturer

STMicroelectronics is a French-Italian multinational electronics and semiconductors manufacturer headquartered in Plan-les-Ouates near Geneva, Switzerland. The company resulted from the merger of two government-owned semiconductor companies in 1987: "Thomson Semiconducteurs" of France and "SGS Microelettronica" of Italy. It is commonly called "ST", and it is Europe's largest semiconductor chip maker based on revenue. While STMicroelectronics corporate headquarters and the headquarters for EMEA region are based in the Canton of Geneva, the holding company, STMicroelectronics N.V. is incorporated in the Netherlands.

TSMC Taiwanese semiconductor foundry company

Taiwan Semiconductor Manufacturing Company, Limited is a Taiwanese multinational semiconductor contract manufacturing and design company. It is the world's most valuable semiconductor company, the world's largest dedicated independent (pure-play) semiconductor foundry, and one of Taiwan's largest companies, with its headquarters and main operations located in the Hsinchu Science Park in Hsinchu. It is majority owned by foreign investors.

Webcast Internet media presentation via streaming media technology

A webcast is a media presentation distributed over the Internet using streaming media technology to distribute a single content source to many simultaneous listeners/viewers. A webcast may either be distributed live or on demand. Essentially, webcasting is "broadcasting" over the Internet.

In semiconductor manufacturing, silicon on insulator (SOI) technology is fabrication of silicon semiconductor devices in a layered silicon–insulator–silicon substrate, to reduce parasitic capacitance within the device, thereby improving performance. SOI-based devices differ from conventional silicon-built devices in that the silicon junction is above an electrical insulator, typically silicon dioxide or sapphire. The choice of insulator depends largely on intended application, with sapphire being used for high-performance radio frequency (RF) and radiation-sensitive applications, and silicon dioxide for diminished short-channel effects in other microelectronics devices. The insulating layer and topmost silicon layer also vary widely with application.

Wafer fabrication is a procedure composed of many repeated sequential processes to produce complete electrical or photonic circuits on semiconductor wafers. Examples include production of radio frequency (RF) amplifiers, LEDs, optical computer components, and microprocessors for computers. Wafer fabrication is used to build components with the necessary electrical structures.

SEMI is an industry association comprising companies involved in the electronics design and manufacturing supply chain. They provide equipment, materials and services for the manufacture of semiconductors, photovoltaic panels, LED and flat panel displays, micro-electromechanical systems (MEMS), printed and flexible electronics, and related micro and nano-technologies.

Semiconductor Manufacturing International Corporation Chinese semiconductor foundry

Semiconductor Manufacturing International Corporation (SMIC) is a partially state-owned publicly-listed Chinese semiconductor foundry company, and the largest contract chip maker in mainland China.

Semiconductor fabrication plant Factory where integrated circuits are manufactured

In the microelectronics industry, a semiconductor fabrication plant is a factory where devices such as integrated circuits are manufactured.

Soitec is a France-based international industrial company specialized in generating and manufacturing high performance semiconductor materials.

A hybrid silicon laser is a semiconductor laser fabricated from both silicon and group III-V semiconductor materials. The hybrid silicon laser was developed to address the lack of a silicon laser to enable fabrication of low-cost, mass-producible silicon optical devices. The hybrid approach takes advantage of the light-emitting properties of III-V semiconductor materials combined with the process maturity of silicon to fabricate electrically driven lasers on a silicon wafer that can be integrated with other silicon photonic devices.

In electronics, a self-aligned gate is a transistor manufacturing feature whereby a refractory gate electrode region of a MOSFET is used as a mask for the doping of the source and drain regions. This technique ensures that the gate will slightly overlap the edges of the source and drain.

<i>Building Design+Construction</i>

Building Design+Construction(BD+C) is a trade publication and web site serving the information needs of designing, building, and owning firms in the non-residential construction industry.

Systems on Silicon Manufacturing Company Pte. Ltd. is a Singaporean semiconductor fabrication company located in Pasir Ris Wafer Fab Park. It was incorporated in 1999 and is a joint venture between NXP Semiconductors and TSMC. Founded by Philips and EDB Investments, the plant was completed in 2000.

SunEdison, Inc. is a renewable energy company headquartered in the U.S. In addition to developing, building, owning, and operating solar power plants and wind energy plants, it also manufactures high purity polysilicon, monocrystalline silicon ingots, silicon wafers, solar modules, solar energy systems, and solar module racking systems. Originally a silicon-wafer manufacturer established in 1959 as the Monsanto Electronic Materials Company, Monsanto sold the company in 1989.

GlobalFoundries Inc. is a multinational semiconductor contract manufacturing and design company incorporated in the Cayman Islands and headquartered in Malta, New York. Created by the divestiture of the manufacturing arm of Advanced Micro Devices (AMD), the company is privately owned by Mubadala Investment Company, the sovereign wealth fund of the United Arab Emirates.

Wafer-level packaging Packaging an integrated circuit while still part of the wafer, or, bare dies that are used as integrated circuits without any packaging

Wafer-level packaging (WLP) is the technology of packaging an integrated circuit while still part of the wafer, in contrast to the more conventional method of slicing the wafer into individual circuits (dice) and then packaging them. WLP is essentially a true chip-scale package (CSP) technology, since the resulting package is practically of the same size as the die. Wafer-level packaging allows integration of wafer fab, packaging, test, and burn-in at wafer level in order to streamline the manufacturing process undergone by a device from silicon start to customer shipment.

Tribute is an entertainment industry magazine published by Tribute Entertainment Media Group that covers film, television, music, pop culture, celebrity lifestyle: beauty and fashion, and red carpet premieres. The magazine is read by over 1,500,000 and is distributed in Canadian theaters six times a year with a circulation of 500,000. Tribute features coverage of the latest news in Hollywood, film previews, fashion, gossip, movie-related books, music, trivia and feature contests. Tribute has provided coverage of the Toronto International Film Festival for more than 15 years.

Tower Semiconductor Integrated circuit manufacturer

Tower Semiconductor Ltd. is an Israeli company that manufactures integrated circuits using specialty process technologies, including SiGe, BiCMOS, SOI, mixed-signal and RFCMOS, CMOS image sensors, non-imaging sensors, power management (BCD), and non-volatile memory (NVM) as well as MEMS capabilities. Tower Semiconductor also owns 51% of TPSCo, an enterprise with Nuvoton Technology Corporation Japan (NTCJ).

References

  1. 1 2 Mark Osborne. "Semiconductor International has closed". Fabtech. Retrieved May 26, 2020.