Microfabrication

Last updated
Synthetic detail of a micromanufactured integrated circuit through four layers of planarized copper interconnect, down to the polysilicon (pink), wells (greyish) and substrate (green) Siliconchip by shapeshifter.png
Synthetic detail of a micromanufactured integrated circuit through four layers of planarized copper interconnect, down to the polysilicon (pink), wells (greyish) and substrate (green)

Microfabrication is the process of fabricating miniature structures of micrometre scales and smaller. Historically, the earliest microfabrication processes were used for integrated circuit fabrication, also known as "semiconductor manufacturing" or "semiconductor device fabrication". In the last two decades microelectromechanical systems (MEMS), microsystems (European usage), micromachines (Japanese terminology) and their subfields, microfluidics/lab-on-a-chip, optical MEMS (also called MOEMS), RF MEMS, PowerMEMS, BioMEMS and their extension into nanoscale (for example NEMS, for nano electro mechanical systems) have re-used, adapted or extended microfabrication methods. Flat-panel displays and solar cells are also using similar techniques.

Contents

Miniaturization of various devices presents challenges in many areas of science and engineering: physics, chemistry, materials science, computer science, ultra-precision engineering, fabrication processes, and equipment design. It is also giving rise to various kinds of interdisciplinary research. [1] The major concepts and principles of microfabrication are microlithography, doping, thin films, etching, bonding, and polishing.

Simplified illustration of the process of fabrication of a CMOS inverter on p-type substrate in semiconductor microfabrication. Each etch step is detailed in the following image. Note: Gate, source and drain contacts are not normally located in the same plane in real devices, and thus, the diagrams are not to scale. CMOS fabrication process.svg
Simplified illustration of the process of fabrication of a CMOS inverter on p-type substrate in semiconductor microfabrication. Each etch step is detailed in the following image. Note: Gate, source and drain contacts are not normally located in the same plane in real devices, and thus, the diagrams are not to scale.
Detail of an etch step. Photolithography etching process.svg
Detail of an etch step.

Fields of use

Microfabricated devices include:

Origins

Microfabrication technologies originate from the microelectronics industry, and the devices are usually made on silicon wafers even though glass, plastics and many other substrate are in use. Micromachining, semiconductor processing, microelectronic fabrication, semiconductor fabrication, MEMS fabrication and integrated circuit technology are terms used instead of microfabrication, but microfabrication is the broad general term.

Traditional machining techniques such as electro-discharge machining, spark erosion machining, and laser drilling have been scaled from the millimeter size range to micrometer range, but they do not share the main idea of microelectronics-originated microfabrication: replication and parallel fabrication of hundreds or millions of identical structures. This parallelism is present in various imprint, casting and moulding techniques which have successfully been applied in the microregime. For example, injection moulding of DVDs involves fabrication of submicrometer-sized spots on the disc.

Processes

Microfabrication is actually a collection of technologies which are utilized in making microdevices. Some of them have very old origins, not connected to manufacturing, like lithography or etching. Polishing was borrowed from optics manufacturing, and many of the vacuum techniques come from 19th century physics research. Electroplating is also a 19th-century technique adapted to produce micrometre scale structures, as are various stamping and embossing techniques.

To fabricate a microdevice, many processes must be performed, one after the other, many times repeatedly. These processes typically include depositing a film, patterning the film with the desired micro features, and removing (or etching) portions of the film. Thin film metrology is used typically during each of these individual process steps, to ensure the film structure has the desired characteristics in terms of thickness (t), refractive index (n) and extinction coefficient (k), [2] for suitable device behavior. For example, in memory chip fabrication there are some 30 lithography steps, 10 oxidation steps, 20 etching steps, 10 doping steps, and many others are performed. The complexity of microfabrication processes can be described by their mask count. This is the number of different pattern layers that constitute the final device. Modern microprocessors are made with 30 masks while a few masks suffice for a microfluidic device or a laser diode. Microfabrication resembles multiple exposure photography, with many patterns aligned to each other to create the final structure.

Substrates

Microfabricated devices are not generally freestanding devices but are usually formed over or in a thicker support substrate. For electronic applications, semiconducting substrates such as silicon wafers can be used. For optical devices or flat panel displays, transparent substrates such as glass or quartz are common. The substrate enables easy handling of the micro device through the many fabrication steps. Often many individual devices are made together on one substrate and then singulated into separated devices toward the end of fabrication.

Deposition or growth

Microfabricated devices are typically constructed using one or more thin films (see Thin film deposition). The purpose of these thin films depends upon the type of device. Electronic devices may have thin films which are conductors (metals), insulators (dielectrics) or semiconductors. Optical devices may have films which are reflective, transparent, light guiding or scattering. Films may also have a chemical or mechanical purpose as well as for MEMS applications. Examples of deposition techniques include:

Patterning

It is often desirable to pattern a film into distinct features or to form openings (or vias) in some of the layers. These features are on the micrometer or nanometer scale and the patterning technology is what defines microfabrication. This patterning technique typically uses a 'mask' to define portions of the film which will be removed. Examples of patterning techniques include:

Etching

Etching is the removal of some portion of the thin film or substrate. The substrate is exposed to an etching (such as an acid or plasma) which chemically or physically attacks the film until it is removed. Etching techniques include:

Microforming

Microforming is a microfabrication process of microsystem or microelectromechanical system (MEMS) "parts or structures with at least two dimensions in the submillimeter range." [3] [4] [5] It includes techniques such as microextrusion, [4] microstamping, [6] and microcutting. [7] These and other microforming processes have been envisioned and researched since at least 1990, [3] leading to the development of industrial- and experimental-grade manufacturing tools. However, as Fu and Chan pointed out in a 2013 state-of-the-art technology review, several issues must still be resolved before the technology can be implemented more widely, including deformation load and defects, forming system stability, mechanical properties, and other size-related effects on the crystallite (grain) structure and boundaries: [4] [5] [8]

In microforming, the ratio of the total surface area of grain boundaries to the material volume decreases with the decrease of specimen size and the increase of grain size. This leads to the decrease of grain boundary strengthening effect. Surface grains have lesser constraints compared to internal grains. The change of flow stress with part geometry size is partly attributed to the change of volume fraction of surface grains. In addition, the anisotropic properties of each grain become significant with the decrease of workpiece size, which results in the inhomogeneous deformation, irregular formed geometry and the variation of deformation load. There is a critical need to establish the systematic knowledge of microforming to support the design of part, process, and tooling with the consideration of size effects. [8]

Other

a wide variety of other processes for cleaning, planarizing, or modifying the chemical properties of microfabricated devices can also be performed. Some examples include:

Cleanliness in wafer fabrication

Microfabrication is carried out in cleanrooms, where air has been filtered of particle contamination and temperature, humidity, vibrations and electrical disturbances are under stringent control. Smoke, dust, bacteria and cells are micrometers in size, and their presence will destroy the functionality of a microfabricated device.

Cleanrooms provide passive cleanliness but the wafers are also actively cleaned before every critical step. RCA-1 clean in ammonia-peroxide solution removes organic contamination and particles; RCA-2 cleaning in hydrogen chloride-peroxide mixture removes metallic impurities. Sulfuric acid-peroxide mixture (a.k.a. Piranha) removes organics. Hydrogen fluoride removes native oxide from silicon surface. These are all wet cleaning steps in solutions. Dry cleaning methods include oxygen and argon plasma treatments to remove unwanted surface layers, or hydrogen bake at elevated temperature to remove native oxide before epitaxy. Pre-gate cleaning is the most critical cleaning step in CMOS fabrication: it ensures that the ca. 2 nm thick oxide of a MOS transistor can be grown in an orderly fashion. Oxidation, and all high temperature steps are very sensitive to contamination, and cleaning steps must precede high temperature steps.

Surface preparation is just a different viewpoint, all the steps are the same as described above: it is about leaving the wafer surface in a controlled and well known state before you start processing. Wafers are contaminated by previous process steps (e.g. metals bombarded from chamber walls by energetic ions during ion implantation), or they may have gathered polymers from wafer boxes, and this might be different depending on wait time.

Wafer cleaning and surface preparation work similarly to the machines in a bowling alley: first they remove all unwanted bits and pieces, and then they reconstruct the desired pattern so that the game can go on.

See also

Related Research Articles

<span class="mw-page-title-main">MEMS</span> Very small devices that incorporate moving components

MEMS is the technology of microscopic devices incorporating both electronic and moving parts. MEMS are made up of components between 1 and 100 micrometres in size, and MEMS devices generally range in size from 20 micrometres to a millimetre, although components arranged in arrays can be more than 1000 mm2. They usually consist of a central unit that processes data and several components that interact with the surroundings.

In integrated circuit manufacturing, photolithography or optical lithography is a general term used for techniques that use light to produce minutely patterned thin films of suitable materials over a substrate, such as a silicon wafer, to protect selected areas of it during subsequent etching, deposition, or implantation operations. Typically, ultraviolet light is used to transfer a geometric design from an optical mask to a light-sensitive chemical (photoresist) coated on the substrate. The photoresist either breaks down or hardens where it is exposed to light. The patterned film is then created by removing the softer parts of the coating with appropriate solvents, also known in this case as developers.

<span class="mw-page-title-main">Semiconductor device fabrication</span> Manufacturing process used to create integrated circuits

Semiconductor device fabrication is the process used to manufacture semiconductor devices, typically integrated circuits (ICs) such as computer processors, microcontrollers, and memory chips that are present in everyday electrical and electronic devices. It is a multiple-step photolithographic and physio-chemical process during which electronic circuits are gradually created on a wafer, typically made of pure single-crystal semiconducting material. Silicon is almost always used, but various compound semiconductors are used for specialized applications.

Dry etching refers to the removal of material, typically a masked pattern of semiconductor material, by exposing the material to a bombardment of ions that dislodge portions of the material from the exposed surface. A common type of dry etching is reactive-ion etching. Unlike with many of the wet chemical etchants used in wet etching, the dry etching process typically etches directionally or anisotropically.

Surface micromachining builds microstructures by deposition and etching structural layers over a substrate. This is different from Bulk micromachining, in which a silicon substrate wafer is selectively etched to produce structures.

<span class="mw-page-title-main">Planar process</span> Process used to make microchips

The planar process is a manufacturing process used in the semiconductor industry to build individual components of a transistor, and in turn, connect those transistors together. It is the primary process by which silicon integrated circuit chips are built. The process utilizes the surface passivation and thermal oxidation methods.

Chemical mechanical polishing (CMP) or planarization is a process of smoothing surfaces with the combination of chemical and mechanical forces. It can be thought of as a hybrid of chemical etching and free abrasive polishing.

In semiconductor fabrication, a resist is a thin layer used to transfer a circuit pattern to the semiconductor substrate which it is deposited upon. A resist can be patterned via lithography to form a (sub)micrometer-scale, temporary mask that protects selected areas of the underlying substrate during subsequent processing steps. The material used to prepare said thin layer is typically a viscous solution. Resists are generally proprietary mixtures of a polymer or its precursor and other small molecules that have been specially formulated for a given lithography technology. Resists used during photolithography are called photoresists.

Deep reactive-ion etching (DRIE) is a highly anisotropic etch process used to create deep penetration, steep-sided holes and trenches in wafers/substrates, typically with high aspect ratios. It was developed for microelectromechanical systems (MEMS), which require these features, but is also used to excavate trenches for high-density capacitors for DRAM and more recently for creating through silicon vias (TSVs) in advanced 3D wafer level packaging technology. In DRIE, the substrate is placed inside a reactor, and several gases are introduced. A plasma is struck in the gas mixture which breaks the gas molecules into ions. The ions accelerated towards, and react with the surface of the material being etched, forming another gaseous element. This is known as the chemical part of the reactive ion etching. There is also a physical part, if ions have enough energy, they can knock atoms out of the material to be etched without chemical reaction.

<span class="mw-page-title-main">Lam Research</span> American semiconductor equipment company

Lam Research Corporation is an American supplier of wafer-fabrication equipment and related services to the semiconductor industry. Its products are used primarily in front-end wafer processing, which involves the steps that create the active components of semiconductor devices and their wiring (interconnects). The company also builds equipment for back-end wafer-level packaging (WLP) and for related manufacturing markets such as for microelectromechanical systems (MEMS).

In semiconductor electronics fabrication technology, a self-aligned gate is a transistor manufacturing approach whereby the gate electrode of a MOSFET is used as a mask for the doping of the source and drain regions. This technique ensures that the gate is naturally and precisely aligned to the edges of the source and drain.

<span class="mw-page-title-main">Etching (microfabrication)</span> Technique in microfabrication used to remove material and create structures

Etching is used in microfabrication to chemically remove layers from the surface of a wafer during manufacturing. Etching is a critically important process module, and every wafer undergoes many etching steps before it is complete.

Microlithography is a general name for any manufacturing process that can create a minutely patterned thin film of protective materials over a substrate, such as a silicon wafer, in order to protect selected areas of it during subsequent etching, deposition, or implantation operations. The term is normally used for processes that can reliably produce features of microscopic size, such as 10 micrometres or less. The term nanolithography may be used to designate processes that can produce nanoscale features, such as less than 100 nanometres.

Adhesive bonding describes a wafer bonding technique with applying an intermediate layer to connect substrates of different types of materials. Those connections produced can be soluble or insoluble. The commercially available adhesive can be organic or inorganic and is deposited on one or both substrate surfaces. Adhesives, especially the well-established SU-8, and benzocyclobutene (BCB), are specialized for MEMS or electronic component production.

<span class="mw-page-title-main">Ultrasonic nozzle</span>

Ultrasonic nozzles are a type of spray nozzle that use high frequency vibrations produced by piezoelectric transducers acting upon the nozzle tip that create capillary waves in a liquid film. Once the amplitude of the capillary waves reaches a critical height, they become too tall to support themselves and tiny droplets fall off the tip of each wave resulting in atomization.

Thermocompression bonding describes a wafer bonding technique and is also referred to as diffusion bonding, pressure joining, thermocompression welding or solid-state welding. Two metals, e.g. gold-gold (Au), are brought into atomic contact applying force and heat simultaneously. The diffusion requires atomic contact between the surfaces due to the atomic motion. The atoms migrate from one crystal lattice to the other one based on crystal lattice vibration. This atomic interaction sticks the interface together. The diffusion process is described by the following three processes:

Ultra-high-purity steam, also called the clean steam, UHP steam or high purity water vapor, is used in a variety of industrial manufacturing processes that require oxidation or annealing. These processes include the growth of oxide layers on silicon wafers for the semiconductor industry, originally described by the Deal-Grove model, and for the formation of passivation layers used to improve the light capture ability of crystalline photovoltaic cells. Several methods and technologies can be employed to generate ultra high purity steam, including pyrolysis, bubbling, direct liquid injection, and purified steam generation. The level of purity, or the relative lack of contamination, affects the quality of the oxide layer or annealed surface. The method of delivery affects growth rate, uniformity, and electrical performance. Oxidation and annealing are common steps in the manufacture of such devices as microelectronics and solar cells.

<span class="mw-page-title-main">Eutectic bonding</span>

Eutectic bonding, also referred to as eutectic soldering, describes a wafer bonding technique with an intermediate metal layer that can produce a eutectic system. Those eutectic metals are alloys that transform directly from solid to liquid state, or vice versa from liquid to solid state, at a specific composition and temperature without passing a two-phase equilibrium, i.e. liquid and solid state. The fact that the eutectic temperature can be much lower than the melting temperature of the two or more pure elements can be important in eutectic bonding.

<span class="mw-page-title-main">Chemistry of photolithography</span> Overview article

Photolithography is a process in removing select portions of thin films used in microfabrication. Microfabrication is the production of parts on the micro- and nano- scale, typically on the surface of silicon wafers, for the production of integrated circuits, microelectromechanical systems (MEMS), solar cells, and other devices. Photolithography makes this process possible through the combined use of hexamethyldisilazane (HMDS), photoresist, spin coating, photomask, an exposure system and other various chemicals. By carefully manipulating these factors it is possible to create nearly any geometry microstructure on the surface of a silicon wafer. The chemical interaction between all the different components and the surface of the silicon wafer makes photolithography an interesting chemistry problem. Current engineering has been able to create features on the surface of silicon wafers between 1 and 100 μm.

Glossary of microelectronics manufacturing terms

References

  1. Nitaigour Premchand Mahalik (2006) "Micromanufacturing and Nanotechnology", Springer, ISBN   3-540-25377-7
  2. Löper, Philipp; Stuckelberger, Michael; Niesen, Bjoern; Werner, Jérémie; Filipič, Miha; Moon, Soo-Jin; Yum, Jun-Ho; Topič, Marko; De Wolf, Stefaan; Ballif, Christophe (2015). "Complex Refractive Index Spectra of CH3NH3PbI3 Perovskite Thin Films Determined by Spectroscopic Ellipsometry and Spectrophotometry". The Journal of Physical Chemistry Letters. 6 (1): 66–71. doi:10.1021/jz502471h. PMID   26263093 . Retrieved 2021-11-16.
  3. 1 2 Engel, U.; Eckstein, R. (2002). "Microforming - From Basic research to its realization". Journal of Materials Processing Technology. 125–126 (2002): 35–44. doi:10.1016/S0924-0136(02)00415-6.
  4. 1 2 3 Dixit, U.S.; Das, R. (2012). "Chapter 15: Microextrusion". In Jain, V.K. (ed.). Micromanufacturing Processes. CRC Press. pp. 263–282. ISBN   9781439852903.
  5. 1 2 Razali, A.R.; Qin, Y. (2013). "A review on micro-manufacturing, micro-forming and their key issues". Procedia Engineering. 53 (2013): 665–672. doi: 10.1016/j.proeng.2013.02.086 .
  6. Advanced Manufacturing Processes Laboratory (2015). "Process Analysis and Variation Control in Micro-stamping". Northwestern University. Retrieved 18 March 2016.
  7. Fu, M.W.; Chan, W.L. (2014). "Chapter 4: Microforming Processes". Micro-scaled Products Development via Microforming: Deformation Behaviours, Processes, Tooling and its Realization. Springer Science & Business Media. pp. 73–130. ISBN   9781447163268.
  8. 1 2 Fu, M.W.; Chan, W.L. (2013). "A review on the state-of-the-art microforming technologies". International Journal of Advanced Manufacturing Technology. 67 (9): 2411–2437. doi:10.1007/s00170-012-4661-7. S2CID   110879846.

Further reading

Journals

Books