High-level synthesis

Last updated

High-level synthesis (HLS), sometimes referred to as C synthesis, electronic system-level (ESL) synthesis, algorithmic synthesis, or behavioral synthesis, is an automated design process that takes an abstract behavioral specification of a digital system and finds a register-transfer level structure that realizes the given behavior. [1] [2] [3]

Contents

Synthesis begins with a high-level specification of the problem, where behavior is generally decoupled from low-level circuit mechanics such as clock-level timing. Early HLS explored a variety of input specification languages, [4] although recent research and commercial applications generally accept synthesizable subsets of ANSI C/C++/SystemC/MATLAB. The code is analyzed, architecturally constrained, and scheduled to transcompile from a transaction-level model (TLM) into a register-transfer level (RTL) design in a hardware description language (HDL), which is in turn commonly synthesized to the gate level by the use of a logic synthesis tool.

The goal of HLS is to let hardware designers efficiently build and verify hardware, by giving them better control over optimization of their design architecture, and through the nature of allowing the designer to describe the design at a higher level of abstraction while the tool does the RTL implementation. Verification of the RTL is an important part of the process. [5]

Hardware can be designed at varying levels of abstraction. The commonly used levels of abstraction are gate level, register-transfer level (RTL), and algorithmic level.

While logic synthesis uses an RTL description of the design, high-level synthesis works at a higher level of abstraction, starting with an algorithmic description in a high-level language such as SystemC and ANSI C/C++. The designer typically develops the module functionality and the interconnect protocol. The high-level synthesis tools handle the micro-architecture and transform untimed or partially timed functional code into fully timed RTL implementations, automatically creating cycle-by-cycle detail for hardware implementation. [6] The (RTL) implementations are then used directly in a conventional logic synthesis flow to create a gate-level implementation.

History

Early academic work extracted scheduling, allocation, and binding as the basic steps for high-level-synthesis. Scheduling partitions the algorithm in control steps that are used to define the states in the finite-state machine. Each control step contains one small section of the algorithm that can be performed in a single clock cycle in the hardware. Allocation and binding maps the instructions and variables to the hardware components, multiplexers, registers and wires of the data path.

First generation behavioral synthesis was introduced by Synopsys in 1994 as Behavioral Compiler [7] and used Verilog or VHDL as input languages. The abstraction level used was partially timed (clocked) processes. Tools based on behavioral Verilog or VHDL were not widely adopted in part because neither languages nor the partially timed abstraction were well suited to modeling behavior at a high level. 10 years later, in early 2004, Synopsys end-of-lifed Behavioral Compiler. [8]

In 1998, Forte Design Systems introduced its Cynthesizer tool which used SystemC as an entry language instead of Verilog or VHDL. Cynthesizer was adopted by many Japanese companies in 2000 as Japan had a very mature SystemC user community. The first high-level synthesis tapeout was achieved in 2001 by Sony using Cynthesizer. Adoption in the United States started in earnest in 2008.[ citation needed ]

In 2006, an efficient and scalable "SDC modulo scheduling" technique was developed on control and data flow graphs [9] and was later extended to pipeline scheduling [10] . This technique uses the integer linear programming formulation. But it shows that the underlying constraint matrix is totally unimodular (after approximating the resource constraints). Thus, the problem can be solved in polynomial time optimally using a linear programming solver in polynomial time. This work was inducted to the FPGA and Reconfigurable Computing Hall of Fame 2022 [11] .

The SDC scheduling algorithm was implemented in the xPilot HLS system [12] developed at UCLA [13] , and later licensed to the AutoESL Design Technologies, a spin-off from UCLA. AutoESL was acquired by Xilinx (now part of AMD) in 2011 [11] , and the HLS tool developed by AutoESL became the base of Xilinx HLS solutions, Vivado HLS and Vitis HLS, widely used for FPGA designs.

Source input

The most common source inputs for high-level synthesis are based on standard languages such as ANSI C/C++, SystemC and MATLAB.

High-level synthesis typically also includes a bit-accurate executable specification as input, since to derive an efficient hardware implementation, additional information is needed on what is an acceptable Mean-Square Error or Bit-Error Rate etc. For example, if the designer starts with an FIR filter written using the "double" floating type, before he can derive an efficient hardware implementation, they need to perform numerical refinement to arrive at a fixed-point implementation. The refinement requires additional information on the level of quantization noise that can be tolerated, the valid input ranges etc. This bit-accurate specification makes the high level synthesis source specification functionally complete. [14] Normally the tools infer from the high level code a Finite State Machine and a Datapath that implement arithmetic operations.

Process stages

The high-level synthesis process consists of a number of activities. Various high-level synthesis tools perform these activities in different orders using different algorithms. Some high-level synthesis tools combine some of these activities or perform them iteratively to converge on the desired solution. [15]

Functionality

In general, an algorithm can be performed over many clock cycles with few hardware resources, or over fewer clock cycles using a larger number of ALUs, registers and memories. Correspondingly, from one algorithmic description, a variety of hardware microarchitectures can be generated by an HLS compiler according to the directives given to the tool. This is the same trade off of execution speed for hardware complexity as seen when a given program is run on conventional processors of differing performance, yet all running at roughly the same clock frequency.

Architectural constraints

Synthesis constraints for the architecture can automatically be applied based on the design analysis. [5] These constraints can be broken into

Interface synthesis

Interface Synthesis refers to the ability to accept pure C/C++ description as its input, then use automated interface synthesis technology to control the timing and communications protocol on the design interface. This enables interface analysis and exploration of a full range of hardware interface options such as streaming, single- or dual-port RAM plus various handshaking mechanisms. With interface synthesis the designer does not embed interface protocols in the source description. Examples might be: direct connection, one line, 2 line handshake, FIFO. [16]

Vendors

Data reported on recent Survey [17]

StatusCompilerOwnerLicenseInputOutputYearDomainTest
Bench
FPFixP
In Use Stratus HLS Cadence Design Systems CommercialC/C++ SystemCRTL2015AllYesYesYes
AUGH TIMA Lab.AcademicC subsetVHDL2012AllYesNoNo
eXCite Y ExplorationsCommercialCVHDL/Verilog2001AllYesNoYes
Bambu PoliMiAcademicCVHDL/Verilog2012AllYesYesNo
Bluespec BlueSpec Inc.BSD-3BSVSystemVerilog2007AllNoNoNo
QCC CacheQ Systems, Inc. CommercialC/C++/FortanHost Executable + FPGA Bit file (SystemVerilog is intermediate)2018All - multi-core and heterogeneous computeYes (C++)YesYes
CHCAltiumCommercialC subsetVHDL/Verilog2008AllNoYesYes
CoDeveloperImpulse AcceleratedCommercialImpulse-CVHDL2003Image
Streaming
YesYesNo
HDL Coder MathWorksCommercialMATLAB, Simulink, Stateflow, SimscapeVHDL / Verilog2003Control Systems, Signal Processing, Wireless, Radar, Communications, Image and Computer VisionYesYesYes
CyberWorkBench NECCommercialC, BDL, SystemCVHDL/Verilog2004AllCycle/
Formal
YesYes
Catapult Mentor
(Siemens business)
CommercialC, C++, SystemCVHDL/Verilog2004AllYesYesYes
DWARVTU. DelftAcademicC subsetVHDL2012AllYesYesYes
GAUT U. BretagneAcademicC/C++VHDL2010DSPYesNoYes
Hastlayer Lombiq TechnologiesBSD-3C#/C++/F#...
(.NET)
VHDL2015.NETYesYesYes
Instant SoC FPGA CoresCommercialC/C++VHDL/Verilog2019AllYesNoNo
Intel High Level Synthesis Compiler Intel FPGA (Formerly Altera)CommercialC/C++Verilog2017AllYesYesYes
LegUp HLS LegUp ComputingCommercialC/C++Verilog2015AllYesYesYes
LegUp U. TorontoAcademicCVerilog2010AllYesYesNo
MaxCompilerMaxelerCommercialMaxJRTL2010DataFlowNoYesNo
ROCCC Jacquard Comp.CommercialC subsetVHDL2010StreamingNoYesNo
Symphony CSynopsysCommercialC/C++VHDL/Verilog/
SystemC
2010AllYesNoYes
VivadoHLS
(formerly AutoPilot
from AutoESL [18] )
XilinxCommercialC/C++/SystemCVHDL/Verilog/
SystemC
2013AllYesYesYes
Kiwi U. CambridgeAcademicC#Verilog2008.NETNoYesYes
CHiMPSU. WashingtonAcademicCVHDL2008AllNoNoNo
gcc2verilogU. KoreaAcademicCVerilog2011AllNoNoNo
HercuLeS Ajax CompilersCommercialC/NACVHDL2012AllYesYesYes
Shang U. IllinoisAcademicCVerilog2013AllYes??
TridentLos Alamos NLAcademicC subsetVHDL2007ScientificNoYesNo
Aban-
doned
AccelDSPXilinxCommercialMATLABVHDL/Verilog2006DSPYesYesYes
C2HAlteraCommercialCVHDL/Verilog2006AllNoNoNo
CtoVerilogU. HaifaAcademicCVerilog2008AllNoNoNo
DEFACTOU. South Cailf.AcademicCRTL1999DSENoNoNo
GarpU. BerkeleyAcademicC subsetbitstream2000LoopNoNoNo
MATCHU. NorthwestAcademicMATLABVHDL2000ImageNoNoNo
Napa-CSarnoff Corp.AcademicC subsetVHDL/Verilog1998LoopNoNoNo
PipeRenchU.Carnegie M.AcademicDILbistream2000StreamNoNoNo
SA-CU. ColoradoAcademicSA-CVHDL2003ImageNoNoNo
SeaCucumberU. Brigham Y.AcademicJavaEDIF2002AllNoYesYes
SPARKU. Cal. IrvineAcademicCVHDL2003ControlNoNoNo

See also

Related Research Articles

<span class="mw-page-title-main">VHDL</span> Hardware description language

VHDL is a hardware description language that can model the behavior and structure of digital systems at multiple levels of abstraction, ranging from the system level down to that of logic gates, for design entry, documentation, and verification purposes. The language was developed for the US military VHSIC program in the 1980s, and has been standardized by the Institute of Electrical and Electronics Engineers (IEEE) as IEEE Std 1076; the latest version of which is IEEE Std 1076-2019. To model analog and mixed-signal systems, an IEEE-standardized HDL based on VHDL called VHDL-AMS has been developed.

Verilog, standardized as IEEE 1364, is a hardware description language (HDL) used to model electronic systems. It is most commonly used in the design and verification of digital circuits at the register-transfer level of abstraction. It is also used in the verification of analog circuits and mixed-signal circuits, as well as in the design of genetic circuits. In 2009, the Verilog standard was merged into the SystemVerilog standard, creating IEEE Standard 1800-2009. Since then, Verilog has been officially part of the SystemVerilog language. The current version is IEEE standard 1800-2023.

In computer engineering, a hardware description language (HDL) is a specialized computer language used to describe the structure and behavior of electronic circuits, most commonly to design ASICs and program FPGAs.

Electronic design automation (EDA), also referred to as electronic computer-aided design (ECAD), is a category of software tools for designing electronic systems such as integrated circuits and printed circuit boards. The tools work together in a design flow that chip designers use to design and analyze entire semiconductor chips. Since a modern semiconductor chip can have billions of components, EDA tools are essential for their design; this article in particular describes EDA specifically with respect to integrated circuits (ICs).

SystemC is a set of C++ classes and macros which provide an event-driven simulation interface. These facilities enable a designer to simulate concurrent processes, each described using plain C++ syntax. SystemC processes can communicate in a simulated real-time environment, using signals of all the datatypes offered by C++, some additional ones offered by the SystemC library, as well as user defined. In certain respects, SystemC deliberately mimics the hardware description languages VHDL and Verilog, but is more aptly described as a system-level modeling language.

Formal equivalence checking process is a part of electronic design automation (EDA), commonly used during the development of digital integrated circuits, to formally prove that two representations of a circuit design exhibit exactly the same behavior.

In computer engineering, logic synthesis is a process by which an abstract specification of desired circuit behavior, typically at register transfer level (RTL), is turned into a design implementation in terms of logic gates, typically by a computer program called a synthesis tool. Common examples of this process include synthesis of designs specified in hardware description languages, including VHDL and Verilog. Some synthesis tools generate bitstreams for programmable logic devices such as PALs or FPGAs, while others target the creation of ASICs. Logic synthesis is one step in circuit design in the electronic design automation, the others are place and route and verification and validation.

<span class="mw-page-title-main">Hardware acceleration</span> Specialized computer hardware

Hardware acceleration is the use of computer hardware designed to perform specific functions more efficiently when compared to software running on a general-purpose central processing unit (CPU). Any transformation of data that can be calculated in software running on a generic CPU can also be calculated in custom-made hardware, or in some mix of both.

<span class="mw-page-title-main">SystemVerilog</span> Hardware description and hardware verification language

SystemVerilog, standardized as IEEE 1800, is a hardware description and hardware verification language used to model, design, simulate, test and implement electronic systems. SystemVerilog is based on Verilog and some extensions, and since 2008, Verilog is now part of the same IEEE standard. It is commonly used in the semiconductor and electronic design industry as an evolution of Verilog.

Electronic system level (ESL) design and verification is an electronic design methodology, focused on higher abstraction level concerns. The term Electronic System Level or ESL Design was first defined by Gartner Dataquest, an EDA-industry-analysis firm, on February 1, 2001. It is defined in ESL Design and Verification as: "the utilization of appropriate abstractions in order to increase comprehension about a system, and to enhance the probability of a successful implementation of functionality in a cost-effective manner."

Jingsheng Jason Cong is a Chinese-born American computer scientist, educator, and serial entrepreneur. He received his B.S. degree in computer science from Peking University in 1985, his M.S. and Ph. D. degrees in computer science from the University of Illinois at Urbana-Champaign in 1987 and 1990, respectively. He has been on the faculty in the Computer Science Department at the University of California, Los Angeles (UCLA) since 1990. Currently, he is a Distinguished Chancellor’s Professor and the director of Center for Domain-Specific Computing (CDSC).

C to HDL tools convert C language or C-like computer code into a hardware description language (HDL) such as VHDL or Verilog. The converted code can then be synthesized and translated into a hardware device such as a field-programmable gate array. Compared to software, equivalent designs in hardware consume less power and execute faster with lower latency, more parallelism and higher throughput. However, system design and functional verification in a hardware description language can be tedious and time-consuming, so systems engineers often write critical modules in HDL and other modules in a high-level language and synthesize these into HDL through C to HDL or high-level synthesis tools.

Aldec, Inc. is a privately owned electronic design automation company based in Henderson, Nevada that provides software and hardware used in creation and verification of digital designs targeting FPGA and ASIC technologies.

IP-XACT, also known as IEEE 1685, is an XML format that defines and describes individual, re-usable electronic circuit designs to facilitate their use in creating integrated circuits. IP-XACT was created by the SPIRIT Consortium as a standard to enable automated configuration and integration through tools and evolving into an IEEE standard.

<span class="mw-page-title-main">Forte Design Systems</span>

Forte Design Systems, Inc. was a San Jose, CA, based provider of high-level synthesis (HLS) software products, also known as electronic system-level (ESL) synthesis. Forte's main product was Cynthesizer. On February 14, 2014, Forte was acquired by Cadence Design Systems.

<span class="mw-page-title-main">Verilator</span>

Verilator is a free and open-source software tool which converts Verilog to a cycle-accurate behavioral model in C++ or SystemC. The generated models are cycle-accurate and 2-state; as a consequence, the models typically offer higher performance than the more widely used event-driven simulators, which can model behavior within the clock cycle. Verilator is now used within academic research, open source projects and for commercial semiconductor development. It is part of the growing body of free EDA software.

Catapult C Synthesis, a commercial electronic design automation product of Mentor Graphics, is a high-level synthesis tool, sometimes called algorithmic synthesis or ESL synthesis. Catapult C takes ANSI C/C++ and SystemC inputs and generates register transfer level (RTL) code targeted to FPGAs and ASICs.

High-level verification (HLV), or electronic system-level (ESL) verification, is the task to verify ESL designs at high abstraction level, i.e., it is the task to verify a model that represents hardware above register-transfer level (RTL) abstract level. For high-level synthesis, HLV is to HLS as functional verification is to logic synthesis.

<span class="mw-page-title-main">EVE/ZeBu</span> Provider of hardware-assisted verification tools

EVE/ZeBu is a provider of hardware-assisted verification tools for functional verification of Application-specific integrated circuits (ASICs) and system on chip (SOC) designs and for validation of embedded software ahead of implementation in silicon. EVE's hardware acceleration and hardware emulation products work in conjunction with Verilog, SystemVerilog, and VHDL-based simulators from Synopsys, Cadence Design Systems and Mentor Graphics. EVE's flagship product is ZeBu.

<span class="mw-page-title-main">VisualSim Architect</span> Electronic system modeling and simulation software

VisualSim Architect is an electronic system-level software for modeling and simulation of electronic systems, embedded software and semiconductors. VisualSim Architect is a commercial version of the Ptolemy II research project at University of California Berkeley. The product was first released in 2003. VisualSim is a graphical tool that can be used for performance trade-off analyses using such metrics as bandwidth utilization, application response time and buffer requirements. It can be used for architectural analysis of algorithms, components, software instructions and hardware/ software partitioning.

References

  1. Coussy, Philippe; Morawiec, Adam, eds. (2008). High-Level Synthesis - Springer. doi:10.1007/978-1-4020-8588-8. ISBN   978-1-4020-8587-1.
  2. McFarland, M.C.; Parker, A.C.; Camposano, R. (February 1990). "The high-level synthesis of digital systems". Proceedings of the IEEE. 78 (2): 301–318. doi:10.1109/5.52214. ISSN   1558-2256.
  3. "HLS Book : Home". www.hlsbook.com. Retrieved 2023-06-21.
  4. IEEE Xplore High-Level Synthesis: Past, Present, and Future DOI 10.1109/MDT.2009.83
  5. 1 2 Bowyer, Bryan (2005-05-02). "The 'why' and 'what' of algorithmic synthesis". EE Times . Retrieved 2016-10-03.
  6. "C-Based Rapid Prototyping for Digital Signal Processing" (PDF). UBS University, France. Retrieved 2016-10-03.
  7. "Publications and Presentations". Bdti.com. Archived from the original on 2008-04-26. Retrieved 2016-10-03.
  8. "Behavioral synthesis crossroad". EE Times. Retrieved 2016-10-03.
  9. Cong, Jason; Fan, Yiping; Han, Guoling; Jiang, Wei; Zhang, Zhiru (September 2006). "Platform-Based Behavior-Level and System-Level Synthesis". 2006 IEEE International SOC Conference. IEEE. doi:10.1109/socc.2006.283880.
  10. Zhang, Zhiru; Liu, Bin (2013). 2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD) (PDF). IEEE. pp. 211–218. ISBN   978-1-4799-1071-7.
  11. 1 2 Cong, Jason; Bin Liu; Neuendorffer, Stephen; Noguera, Juanjo; Vissers, Kees; Zhiru Zhang (April 2011). "High-Level Synthesis for FPGAs: From Prototyping to Deployment". IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 30 (4): 473–491. doi:10.1109/tcad.2011.2110592. ISSN   0278-0070.
  12. Cong, J.; Zhiru Zhang (2006). "An efficient and versatile scheduling algorithm based on SDC formulation". 2006 43rd ACM/IEEE Design Automation Conference. IEEE. doi:10.1109/dac.2006.229228.
  13. "xPilot: Platform-based Behavior Synthesis System | VAST lab". vast.cs.ucla.edu. Retrieved 2024-04-18.
  14. Multiple Word-Length High-Level Synthesis EURASIP Journal on Embedded Systems
  15. "A look inside behavioral synthesis". EE Times. Retrieved 2016-10-03.
  16. "DesignCon: InfoVault: Paper Library". www.designcon.com. Archived from the original on 25 September 2010. Retrieved 13 January 2022.
  17. Nane, R.; Sima, V. M.; Pilato, C.; Choi, J.; Fort, B.; Canis, A.; Chen, Y. T.; Hsiao, H.; Brown, S. (2016). "A Survey and Evaluation of FPGA High-Level Synthesis Tools" (PDF). IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 35 (10): 1591–1604. doi:10.1109/TCAD.2015.2513673. hdl: 11311/998432 . ISSN   0278-0070. S2CID   8749577.
  18. "Xilinx buys high-level synthesis EDA vendor". EE Times. 2011-02-05. Archived from the original on 2011-10-17. Retrieved 2016-10-03.
  19. "MathWorks – Makers of MATLAB and Simulink". Mathworks.com. Retrieved 2016-10-03.
  20. "SystemC based ESL methodologies - SystemC based ESL methodologies". Circuitsutra.com. Retrieved 2016-10-03.
  21. John M. at a major ERP & DBMS Corporation (2016-08-29). "QuickPlay: Bringing FPGA Computing to the Masses". Quickplay.io. Retrieved 2016-10-03.
  22. "Chipvision - Fast Track to Low Power". www.chipvision.com. Archived from the original on 30 May 2002. Retrieved 13 January 2022.
  23. "CyberWorkBench: Products". NEC. Retrieved 2016-10-03.
  24. "Accueil mega-hardware". www.mega-hardware.com. Archived from the original on 15 January 2004. Retrieved 13 January 2022.
  25. "Cebatech - Home". www.cebatech.com. Archived from the original on 7 May 2005. Retrieved 13 January 2022.
  26. "Nikolaos Kavvadias - HercuLeS high-level synthesis tool". Nkavvadias.com. Retrieved 2016-10-03.
  27. "Synopsys buys Synfora assets". EE Times. Archived from the original on 2011-04-07. Retrieved 2016-10-03.
  28. "The xPilot System". Cadlab.cs.ucla.edu. Retrieved 2016-10-03.
  29. "vSyn.ru". vSyn.ru. 2016-06-16. Archived from the original on 2016-06-30. Retrieved 2016-10-03.
  30. "Hardware design for all". Synflow. Retrieved 2016-10-03.

Further reading